Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Richard L. Sandstrom is active.

Publication


Featured researches published by Richard L. Sandstrom.


SPIE's 1995 Symposium on Microlithography | 1995

Low cost of ownership KrF excimer laser using a novel pulse power and chamber configuration

William N. Partlo; Richard L. Sandstrom; Igor V. Fomenkov; Palash P. Das

A KrF excimer laser using an all solid state Pulse Power Modulator (PPM) has been studied. This PPM configuration replaces the commonly used thyratron switch with a Silicon Controlled Rectifier (SCR) switch combined with a pulse compression-voltage multiplication circuit. Use of this PPM has extended the useful chamber life of a line-narrowed KrF excimer laser from 1.5 billion to 2.5 billion pulses. Broadband KrF laser performance, optimized for mirror based scanner systems, has also been investigated. A minimum broadband chamber life of 5 billion pulses has been demonstrated with this solid state PPM. While a thyratron-based PPM exhibits an expected lifetime of 3 billion pulses, the solid state PPM used in these experiments has been operated for greater than 6 billion pulses without any decrease in performance. Since 72% of the replacement parts cost for the ELS-4000D line-narrowed excimer laser is due to periodic chamber and PPM replacement, significant cost of ownership reduction is realized by extending the lifetime of the chamber and the PPM.


Proceedings of SPIE | 2012

Laser produced plasma EUV sources for device development and HVM

David C. Brandt; Igor V. Fomenkov; Michael J. Lercel; Bruno La Fontaine; David W. Myers; Daniel J. W. Brown; Alex I. Ershov; Richard L. Sandstrom; Alexander N. Bykanov; G. Vaschenko; N. Böwering; Palash P. Das; Vladimir B. Fleurov; Kevin Zhang; Shailendra N. Srivastava; Imtiaz Ahmad; Chirag Rajyaguru; Silvia De Dea; Wayne J. Dunstan; Peter M. Baumgart; Toshi Ishihara; Rod D. Simmons; Robert N. Jacques; Robert A. Bergstedt; Peter I. Porshnev; Christian J. Wittak; Michael R. Woolston; Robert J. Rafac; Jonathan Grava; Alexander Schafgans

Laser produced plasma (LPP) systems have been developed as the primary approach for the EUV scanner light source for optical imaging of circuit features at sub-22nm and beyond nodes on the ITRS roadmap. This paper provides a review of development progress and productization status for LPP extreme-ultra-violet (EUV) sources with performance goals targeted to meet specific requirements from leading scanner manufacturers. We present the latest results on exposure power generation, collection, and clean transmission of EUV through the intermediate focus. Semiconductor industry standards for reliability and source availability data are provided. We report on measurements taken using a 5sr normal incidence collector on a production system. The lifetime of the collector mirror is a critical parameter in the development of extreme ultra-violet LPP lithography sources. Deposition of target material as well as sputtering or implantation of incident particles can reduce the reflectivity of the mirror coating during exposure. Debris mitigation techniques are used to inhibit damage from occuring, the protection results of these techniques will be shown over multi-100s of hours.


Optical Microlithography XVI | 2003

Compaction and Rarefaction of Fused Silica with 193-nm Excimer Laser Exposure

J. Martin Algots; Richard L. Sandstrom; William N. Partlo; Petar Maroevic; Eric Eva; Michael Gerhard; Ralf Linder; Frank Stietz

Extensive testing of the laser damage behavior of fused silica has been performed over the past few years by several researchers. The results have shown that compaction and rarefaction / expansion of the material can occur. The actually observed process depends on the used energy density and laser pulse number at constant pulse length. In order to check the influence of the different laser parameters in more detail, an experimental set up has been constructed that allows us to investigate not only the influence of the energy density and laser pulse number but also the effect of the integrated square pulse width on the laser damage behavior. An optical delay line is used to create a longer integrated pulse width than the natural laser pulse width. To make these tests relevant to the microlithography community, the integrated energy densities chosen for these tests span the range typically found in the projection optics of a 193-nm excimer laser-based microlithography tool. The samples are exposed to several billions of pulses with wavefront measurements made periodically.


Optical Microlithography XVII | 2004

Verification of compaction and rarefaction models for fused silica with 40 billion pulses of 193-nm excimer laser exposure and their effects on projection lens imaging performance

J. Martin Algots; Richard L. Sandstrom; William N. Partlo; Kazuhiro Takahashi; Hiroyuki Ishii; Yasuo Hasegawa

Extensive testing of fused silica has been completed over the past few years by several researchers. A generalized model has been proposed that describes both the compaction that occurs at high peak intensities and the rarefaction that occurs at lower peak intensities. An exposure setup has been constructed to help verify these damage models and to determine the fitting parameters for various types and processing of fused silica. This setup simultaneously exposes samples to two sets of intensities, each set with a different integrated-square pulse width. To make these tests relevant to the microlithography community, the energy densities chosen for these tests span the range found in the projection optics of a 193nm excimer laser-based microlithography tool (50μj/cm2 - 400μj/cm2 ). The samples have been exposed for 40 billion pulses with wavefront measurements made periodically with both 633nm interferometry and 193mn interferometry. This large number of pulses represents the equivalent of several years worth of lens exposure. Data for several fused silica samples will be presented and comparisons will be made to the proposed damage model. According to the obtained parameters, optical performance will be estimated during long term laser exposure on the projection optics. We take into consideration actual light intensity on each lens element for several illumination conditions. We will discuss the difference of the impact on optical performance between different fused silica materials, illumination conditions, reticle transmission, pulse durations, number of pulses, and so on.


SPIE'S 1993 Symposium on Microlithography | 1993

High-resolution spectral studies and the absolute-wavelength calibration of a KrF excimer laser for microlithography

Igor V. Fomenkov; Richard L. Sandstrom

We present measurements of the spectral characteristics of a spectrally narrowed KrF excimer laser designed for use in advanced, high numerical aperture deep UV steppers. Using a specially designed high resolution grating spectrometer, we measured a bandwidth of 1.06 pm FWHM, with 95% of the energy contained within a 3.15 pm band (6 W output power). Using an atomic iron emission line ((lambda) equals 248.3271 nm), the grating spectrometer, and the lasers etalon based wavemeter, the absolute wavelength was calibrated to an accuracy better than 0.1 pm.


Proceedings of SPIE | 2013

CO2/Sn LPP EUV sources for device development and HVM

David C. Brandt; Igor V. Fomenkov; Nigel R. Farrar; Bruno La Fontaine; David W. Myers; Daniel J. W. Brown; Alex I. Ershov; Richard L. Sandstrom; G. Vaschenko; N. Böwering; Palash P. Das; Vladimir B. Fleurov; Kevin Zhang; Shailendra N. Srivastava; Imtiaz Ahmad; Chirag Rajyaguru; Silvia De Dea; Wayne J. Dunstan; Peter M. Baumgart; Toshi Ishihara; Rod D. Simmons; Robert N. Jacques; Robert A. Bergstedt; Peter I. Porshnev; Christopher J. Wittak; Robert J. Rafac; Jonathan Grava; Alexander Schafgans; Yezheng Tao; Kay Hoffmann

Laser produced plasma (LPP) systems have been developed as the primary approach for use in EUV scanner light sources for optical imaging of circuit features at 20nm nodes and beyond. This paper provides a review of development progress and productization status for LPP extreme-ultra-violet (EUV) sources with performance goals targeted to meet specific requirements from ASML. We present the latest results on power generation and collector protection for sources in the field operating at 10W nominal power and in San Diego operating in MOPA (Master Oscillator Power Amplifier) Prepulse mode at higher powers. Semiconductor industry standards for reliability and source availability data are provided. In these proceedings we show results demonstrating validation of MOPA Prepulse operation at high dose-controlled power: 40 W average power with closed-loop active dose control meeting the requirement for dose stability, 55 W average power with closed-loop active dose control, and early collector protection tests to 4 billion pulses without loss of reflectivity.


23rd Annual International Symposium on Microlithography | 1998

ArF lasers for production of semiconductor devices with CD < 0.15 μm

Thomas P. Duffey; Todd J. Embree; Toshihiko Ishihara; Richard G. Morton; William N. Partlo; Tom A. Watson; Richard L. Sandstrom

The present day notion of the extensibility of KrF laser technology to ArF is revisited. We show that a robust solution to ArF requirements can be met by significantly altering the lasers core technology-discharge chamber, pulsed power and optics. With these changes, a practical ArF tool can be developed. Some of the laser specifications are: Bandwidth: 0.6 pm (FWHM) 1.75 pm (95% Included Energy); Average Power: 5 W; Repetition Rate: 1000 Hz; Energy Stability (3(sigma) ): 20% (burst mode) 8% (continuous); Pulse Width: 25 ns.


SPIE'S 1993 Symposium on Microlithography | 1993

Parametric studies and the operating latitude of a spectrally narrowed KrF excimer laser for the deep-UV stepper

Uday K. Sengupta; Toshihiko Ishihara; Richard L. Sandstrom

With the near certainty that the excimer stepper will become one of the lithography tools for printing sub -0.4 micrometers design rule features, it has now become imperative to better understand the performance characteristics of the excimer laser in the context of the total lithography process. It is no longer possible to treat the laser in isolation from the stepper or the resist. The cost of operation for the laser is integrally tied with the stepper specifications, design rule requirements, and resist characteristics. This paper discusses the dependence of laser parameters on stepper performance, and the relationship between various laser operating parameters and specification. In addition, it analyzes the combination of the laser to the lithography process cost per wafer level in terms of design rule requirements and resist characteristics. 15


Proceedings of the IEEE | 2002

Advances in excimer laser technology for sub-0.25-/spl mu/m lithography

Palash P. Das; Richard L. Sandstrom

There are several lasers that can provide high-power radiation at deep-UV wavelengths. The only laser that has been successfully used in semiconductor manufacturing as a source for lithography is the excimer laser Excimer lasers provide direct deep-UV light, are scalable in energy and power, and are capable of operating with narrow spectral widths. Also, by providing three wavelengths at 248, 193, and 157 nm, excimer lasers span three generations. They have large beams and a low degree of coherence. Their physics and chemistry are well understood. Thanks to major technical developments, these lasers have kept up with the ever-tightening specifications of the lithography industry. We will discuss what these specifications are and the advances that have been made in laser technology to meet these. We will also identify any possible future limitation in this technology. The success behind the microelectronics explosion is attributed to many factors. The excimer laser is one of them.


Proceedings of SPIE, the International Society for Optical Engineering | 1996

Design considerations and performance of 1-kHz KrF excimer lasers for DUV lithography

Richard G. Morton; Igor V. Fomenkov; William N. Partlo; Palash P. Das; Richard L. Sandstrom

The operation of 1 kHz KrF lasers for DUV lithography applications requires a design which minimizes perturbations to the optical and electrical properties of the gas present, at one millisecond intervals in the lasing region and vicinity. The optimum design results from a compromise between electrical and fluid dynamic requirements, since these cannot be simultaneously fully satisfied. Other constraints on a commercially viable design are those rooted in issues such as manufacturability, safety, cost, compatibility with fluorine, and service lifetime of the resulting structure. CYMER has successfully engineered a laser which produces linear average power output scaling with pulse repetition rates to 1 kHz at a line- narrowed bandwidth of less than 0.8 pm. The stabilized pulse energy is 10 mJ with a FWHM of approximately 15 nS, producing an average power of 10W at 1 kHz pulse repetition rate. The 3(sigma) value of pulse energy stability is 5 percent. In addition, the chamber exhibits low fluorine consumption and a lifetime in excess of 2 billion shots. Measured performance data are presented along with a general system layout and facilities requirements.

Researchain Logo
Decentralizing Knowledge