Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Alexander N. Bykanov is active.

Publication


Featured researches published by Alexander N. Bykanov.


Proceedings of SPIE | 2006

LPP EUV source development for HVM

David C. Brandt; Igor V. Fomenkov; Alex I. Ershov; William N. Partlo; David W. Myers; N. Böwering; Alexander N. Bykanov; G. Vaschenko; Oleh V. Khodykin; Jerzy R. Hoffman; L Ernesto Vargas; Rodney D. Simmons; Juan A. Chavez; Christopher P. Chrobak

This paper provides a detailed review of development progress for a laser-produced-plasma (LPP) extreme-ultra-violet (EUV) source with performance goals targeted to meet joint requirements from all leading scanner manufacturers. We present the latest results on drive laser power and efficiency, source fuel, conversion efficiency, debris mitigation techniques, multi-layer-mirror coatings, collector efficiency, mass-limited droplet generation, laser-to-droplet targeting control, and system use and experience. The results from full-scale prototype systems are presented. In addition, several smaller lab-scale experimental systems have also been constructed to test specific physical aspects of the light sources. This report reviews the latest experimental results obtained on these systems with a focus on the topics most critical for a source intended for use in high volume manufacturing (HVM). LPP systems have been developed for light-sources applications to enable EUV scanners for optical imaging of circuit features at nodes of 32 nm and below on the international technology roadmap for semiconductors (ITRS). LPP systems have inherent advantages over alternate source types, such as discharge produced plasmas (DPP), with respect to power scalability, source etendue, collector efficiency, and component lifetime. The capability to scale EUV power with laser repetition rate and pulse energy is shown, as well as the modular architecture for extendability. In addition, experimental results of debris mitigation techniques and witness sample lifetime testing of coated multi-layer-mirrors (MLM) are described and used to support the useful lifetime estimation of a normal incidence collector. A roadmap to meet requirements for production scanners planned well into the next decade is also presented.


Proceedings of SPIE | 2009

LPP source system development for HVM

David C. Brandt; Igor V. Fomenkov; Alex I. Ershov; William N. Partlo; David W. Myers; N. Böwering; Nigel R. Farrar; G. Vaschenko; Oleh V. Khodykin; Alexander N. Bykanov; Jerzy R. Hoffman; Christopher P. Chrobak; Shailendra N. Srivastava; Imtiaz Ahmad; Chirag Rajyaguru; Daniel J. Golich; David A. Vidusek; Silvia De Dea; Richard R. Hou

Laser produced plasma (LPP) systems have been developed as a viable approach for the EUV scanner light sources to support optical imaging of circuit features at sub-22nm nodes on the ITRS roadmap. This paper provides a review of development progress and productization status for LPP extreme-ultra-violet (EUV) sources with performance goals targeted to meet specific requirements from leading scanner manufacturers. The status of first generation High Volume Manufacturing (HVM) sources in production and at a leading semiconductor device manufacturer is discussed. The EUV power at intermediate focus is discussed and the lastest data are presented. An electricity consumption model is described, and our current product roadmap is shown.


Proceedings of SPIE | 2009

Laser produced plasma light source for EUVL

Igor V. Fomenkov; David C. Brandt; Alexander N. Bykanov; Alex I. Ershov; William N. Partlo; David W. Myers; N. Böwering; Nigel R. Farrar; G. Vaschenko; Oleh V. Khodykin; Jerzy R. Hoffman; Christopher P. Chrobak; Shailendra N. Srivastava; Daniel J. Golich; David A. Vidusek; Silvia De Dea; Richard R. Hou

This paper is devoted to the development of laser produced plasma (LPP) EUV source architecture for advanced lithography applications in high volume manufacturing of integrated circuits. The paper describes the development status of subsystems most critical to the performance to meet scanner manufacturer requirements for power and debris mitigation. Spatial and temporal distributions of the radiation delivered to the illuminator of the scanner are important parameters of the production EUV tool, this paper reports on these parameters measured at the nominal repetition rate of the EUV source. The lifetime of the collector mirror is a critical parameter in the development of extreme ultra-violet LPP lithography sources. Deposition of target material and contaminants as well as sputtering and implantation of incident particles can reduce the reflectivity of the mirror coating substantially over time during exposure even though debris mitigation schemes are being employed. We report on progress of life-test experiments of exposed 1.6sr collectors using a Sn LPP EUV light source. The erosion of MLM coating is caused mostly by the high-energy ions generated from the plasma. In this manuscript the ion distribution measured at small (14 degree) and medium (45 degree) angles to the laser beam are presented. The measurements show that the chosen combination of the CO2 laser and Sn droplet targets is characterized by fairly uniform angular ion energy distribution. The maximum ion energy generated from the plasma is in the range of 3-3.5 keV for all incident angles of the collector. The measured maximum energy of the ions is significantly less than that measured and simulated for plasmas generated by short wavelength lasers (1 μm). The separation of ions with different charge states was observed when a retarding potential was applied to the Faraday Cup detector.


Proceedings of SPIE | 2012

Laser produced plasma EUV sources for device development and HVM

David C. Brandt; Igor V. Fomenkov; Michael J. Lercel; Bruno La Fontaine; David W. Myers; Daniel J. W. Brown; Alex I. Ershov; Richard L. Sandstrom; Alexander N. Bykanov; G. Vaschenko; N. Böwering; Palash P. Das; Vladimir B. Fleurov; Kevin Zhang; Shailendra N. Srivastava; Imtiaz Ahmad; Chirag Rajyaguru; Silvia De Dea; Wayne J. Dunstan; Peter M. Baumgart; Toshi Ishihara; Rod D. Simmons; Robert N. Jacques; Robert A. Bergstedt; Peter I. Porshnev; Christian J. Wittak; Michael R. Woolston; Robert J. Rafac; Jonathan Grava; Alexander Schafgans

Laser produced plasma (LPP) systems have been developed as the primary approach for the EUV scanner light source for optical imaging of circuit features at sub-22nm and beyond nodes on the ITRS roadmap. This paper provides a review of development progress and productization status for LPP extreme-ultra-violet (EUV) sources with performance goals targeted to meet specific requirements from leading scanner manufacturers. We present the latest results on exposure power generation, collection, and clean transmission of EUV through the intermediate focus. Semiconductor industry standards for reliability and source availability data are provided. We report on measurements taken using a 5sr normal incidence collector on a production system. The lifetime of the collector mirror is a critical parameter in the development of extreme ultra-violet LPP lithography sources. Deposition of target material as well as sputtering or implantation of incident particles can reduce the reflectivity of the mirror coating during exposure. Debris mitigation techniques are used to inhibit damage from occuring, the protection results of these techniques will be shown over multi-100s of hours.


Journal of Micro-nanolithography Mems and Moems | 2009

Performance results of laser-produced plasma test and prototype light sources for EUV lithography

N. Böwering; Igor V. Fomenkov; David C. Brandt; Alexander N. Bykanov; Alexander I. Ershov; William N. Partlo; Dave Myers; Nigel R. Farrar; G. Vaschenko; Oleh V. Khodykin; Jerzy R. Hoffman; Christopher P. Chrobak; Shailendra N. Srivastava; Imtiaz Ahmad; Chirag Rajyaguru; Daniel J. Golich; David A. Vidusek; Silvia De Dea; Richard R. Hou

Improved performance and specific results are reported for several test and prototype extreme ultraviolet EUV light sources devel- oped for next-generation lithography. High repetition rate and high-power CO2 laser-produced plasma sources operating on tin droplet targets are described. Details of laser architecture, source chambers and system operation are given. Stable output power, efficient light collection, and clean EUV transmission could be achieved for hours of operation. We review progress during integration of light sources with collector mirrors reaching EUV power levels at intermediate focus of 60 W and 45 W, respectively, with duty cycles of 25% and 40%. Far-field EUV images of the collected light were recorded to monitor the source output perfor- mance during extended tests of collector longevity and debris protection with system operation time exceeding 50 h. Development results on EUV spectra, out-of-band OOB radiation, and ion debris obtained with dedicated metrology setups are also described. Angle-resolved mea- surements with ion energy analyzer and Faraday cups reveal the contri- butions of individual ion charge states in related spectra. Our laser- produced EUV light source technology has now reached a level of maturity in full integration where prototype sources can be delivered and pilot line introduction can be prepared.


Proceedings of SPIE | 2007

Laser-produced plasma source system development

David C. Brandt; Igor V. Fomenkov; Alex I. Ershov; William N. Partlo; David W. Myers; N. Böwering; G. Vaschenko; Oleh V. Khodykin; Alexander N. Bykanov; Jerzy R. Hoffman; Christopher P. Chrobak; Shailendra N. Srivastava; David A. Vidusek; Silvia De Dea; Richard R. Hou

This paper provides a review of development progress for a laser-produced-plasma (LPP) extreme-ultra-violet (EUV) source with performance goals targeted to meet joint requirements from all leading scanner manufacturers. Laser produced plasma systems have been developed as a viable approach for the EUV scanner light source for optical imaging of circuit features at sub-32nm and beyond nodes on the ITRS roadmap. Recent advances in the development of the system, its present average output power level and progress with various subcomponents is discussed. We present the latest results on peak EUV and average EUV power as well as stability of EUV output, measured in burst-mode operation at the nominal repetition rate of the light source. In addition, our progress in developing of critical components, such as normal-incidence EUV collector and liquid-target delivery system is described. We also report on dose stability, plasma position stability and EUV distribution at the output region of the source. This presentation reviews the experimental results obtained on systems with a focus on the topics most critical for an HVM source. The capability to scale LPP power by further development of the high power CO2 drive laser in order to increase duty cycle and duration of continuous light source operation is shown. Production systems with thermal management and capable of 5 sr light collection are being assembled and tested. A description of the development of a normal-incidence ellipsoidal collector is included. Improvements in substrate quality lead to increased EUV reflectance of the mirror. Results on the generation of liquid tin droplets as target material for efficient plasma generation are also described. The droplet generator serves as a key element in the precise and spatially stable delivery of small quantities of liquid tin at high repetition rates. We describe a protection module at the intermediate focus (IF) region of the source and imaging of the EUV distribution using a sub-aperture collector and a fluorescent screen. A path to meet requirements for production scanners planned well into the next decade is also presented.


Journal of Micro-nanolithography Mems and Moems | 2012

Development of stable extreme-ultraviolet sources for use in lithography exposure systems

Igor V. Fomenkov; Bruno La Fontaine; Daniel J. W. Brown; Imtiaz Ahmad; Peter M. Baumgart; N. Böwering; David C. Brandt; Alexander N. Bykanov; Silvia De Dea; Alex I. Ershov; Nigel R. Farrar; Daniel J. Golich; Michael J. Lercel; David W. Myers; Chirag Rajyaguru; Shailendra N. Srivastava; Yezheng Tao; G. Vaschenko

Laser-produced plasma sources offer the best option for scal- ability to support high-throughput lithography. Challenges associated with the complexity of such a source are being addressed in a pilot program where sources have been built and integrated with extreme-ultraviolet (EUV) scanners. Up to now, five pilot sources have been installed at R&D facilities of chip manufacturers. Two pilot sources are dedicated to product development at our facility, where good dose stability has been demonstrated up to levels of 32 W of average EUV power. Experi- mental tests on a separate experimental system using a laser prepulse to optimize the plasma conditions or EUV conversion show power levels equivalent to approximately 160 W within a low duty-cycle burst, before dose control is applied. The overall stability of the source relies on the generation of Sn droplet targets and large EUV collector mirrors. Stability of the Sn droplet stream is well below 1 μm root mean square during 100 þ h of testing. The lifetime of the collector is significantly enhanced with improved coatings, supporting uninterrupted operation for several weeks.


Emerging Lithographic Technologies IX | 2005

LPP EUV conversion efficiency optimization

Jerzy R. Hoffman; Alexander N. Bykanov; Oleh V. Khodykin; Alexander I. Ershov; N. Böwering; Igor V. Fomenkov; William N. Partlo; Dave Myers

Efficient conversion of laser light into EUV radiation is one of the most important problems of the laser-produced plasma (LPP) EUV source. Too low a conversion efficiency (CE) increases the amount of power the drive laser will have to deliver, which, besides the obvious laser cost increase, also increases the thermal load on all the components and can lead to increased debris generation. In order to meet the requirements for a high-volume manufacturing (HVM) tool and at the same time keep the laser power requirements within acceptable limits, a CE exceeding 2.5% is likely to be required. We present our results on optimizing conversion efficiency of LPP EUV generation. The optimization parameters include laser wavelength, target material, and laser pulse shape, energy and intensity. The final choice between parameter sets that leads to the required minimum CE is dependent on the debris mitigation solutions and the laser source available for a particular parameter set.


Emerging Lithographic Technologies IX | 2005

Liquid metal micro-droplet generator for laser produced plasma target delivery used in an extreme ultra-violet source

John M Algots; Oscar Hemberg; Alexander N. Bykanov

The implementation of a Laser Produced Plasma Extreme Ultra-Violet (LPP EUV) source requires a high-power laser focused onto a target. In order to minimize the required laser input power the target material must have a high conversion efficiency to 13.5 nanometer radiation. Ideally, a pulsed laser is used and the target should be delivered in small uniform volumes to a point in space at high repetition rates. The small volumes minimize the amount of debris, the high repetition rates enable higher power systems and the free space delivery alleviates thermal issues and allows large collection angles. It has been demonstrated that lithium and tin have very high conversion efficiencies and system solutions exist to use these materials. In this paper we describe the requirements and performance of a liquid metal micro-droplet target generator capable of dispensing both lithium and tin. Finally it is shown that the current generator performance is sufficient to support stable source operation.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

High power low cost drive laser for LPP source

Igor V. Fomenkov; Bjorn A. M. Hansson; N. Böwering; Alex I. Ershov; William N. Partlo; Vladimir B. Fleurov; Oleh V. Khodykin; Alexander N. Bykanov; Curtis L. Rettig; Jerzy R. Hoffman; L Ernesto Vargas; Juan A. Chavez; William F. Marx; David C. Brandt

We report on the approach for a high-power high-beam-quality drive laser system that is used for a laser-produced plasma (LPP) EUV source. Cymer has conducted research on a number of solutions for a multi-kW drive laser system that satisfy high volume production requirements. Types of lasers to be presented include XeF at 351 nm and CO2 at 10.6 micron. We report on a high efficiency XeF amplifier with a 3rd harmonic Nd:YLF master oscillator operated in the 6 to 8 kHz range and a CO2 laser system with Q-switched cavity dumped master oscillator and RF pumped fast axial flow amplifiers operated in the 10 to 100 kHz range. CO2 laser short pulse gain and optical isolation techniques are reported. Optical performance data and design features of the drive laser system are discussed, as well as a path to achieve output power scaling to meet high volume manufacturing (HVM) requirements and beyond. Additionally, the electrical efficiency as a component of cost of operation is presented. Development of a drive laser with sufficient output power, high beam quality, and economical cost of operation is critical to the successful implementation of a laser-produced-plasma (LPP) EUV source for HVM applications. Cymer has conducted research on a number of solutions to this critical need. We report our progress on development of a high power system with two gas-discharge power amplifiers to produce high output power with high beam quality. We provide optical performance data and design features of the drive laser as well as a path to output power scaling to meet HVM requirements. Development of a drive laser for LPP EUV source is a challenging task. It requires multi-kW laser output power with short pulse duration and diffraction limited beam quality. In addition, this system needs to be very reliable and cost-efficient to satisfy industry requirements for high volume integrated circuit manufacturing. Feasibility studies of high power laser solutions that utilize proven laser technologies in high power optical gain modules and deliver required beam properties have been performed and are reported.

Researchain Logo
Decentralizing Knowledge