Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Matthew Sendelbach is active.

Publication


Featured researches published by Matthew Sendelbach.


Metrology, Inspection, and Process Control for Microlithography XVII | 2003

Scatterometry measurement precision and accuracy below 70 nm

Matthew Sendelbach; Charles N. Archie

Scatterometry is a contender for various measurement applications where structure widths and heights can be significantly smaller than 70 nm within one or two ITRS generations. For example, feedforward process control in the post-lithography transistor gate formation is being actively pursued by a number of RIE tool manufacturers. Several commercial forms of scatterometry are available or under development which promise to provide satisfactory performance in this regime. Scatterometry, as commercially practiced today, involves analyzing the zeroth order reflected light from a grating of lines. Normal incidence spectroscopic reflectometry, 2-theta fixed-wavelength ellipsometry, and spectroscopic ellipsometry are among the optical techniques, while library based spectra matching and realtime regression are among the analysis techniques. All these commercial forms will find accurate and precise measurement a challenge when the material constituting the critical structure approaches a very small volume. Equally challenging is executing an evaluation methodology that first determines the true properties (critical dimensions and materials) of semiconductor wafer artifacts and then compares measurement performance of several scatterometers. How well do scatterometers track process induced changes in bottom CD and sidewall profile? This paper introduces a general 3D metrology assessment methodology and reports upon work involving sub-70 nm structures and several scatterometers. The methodology combines results from multiple metrologies (CD-SEM, CD-AFM, TEM, and XSEM) to form a Reference Measurement System (RMS). The methodology determines how well the scatterometry measurement tracks critical structure changes even in the presence of other noncritical changes that take place at the same time; these are key components of accuracy. Because the assessment rewards scatterometers that measure with good precision (reproducibility) and good accuracy, the most precise scatterometer is not necessarily the best.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Feedforward of mask open measurements on an integrated scatterometer to improve gate linewidth control

Matthew Sendelbach; Wesley C. Natzle; Charles N. Archie; Bill Banke; Dan Prager; Dan Engelhard; Jason Ferns; Asao Yamashita; Merritt Funk; Fumihiko Higuchi; Masayuki Tomoyasu

As feature geometries decrease, the budgeted error for process variations decreases as well. Keeping these variations within budget is especially important in the area of gate linewidth control. Because of this, wafer-to-wafer control of gate linewidth becomes increasingly necessary. This paper shows results from 300 mm wafers with 90 nm technology that were trimmed during the gate formation process on an etch platform. After the process that opened the gate hard mask and stripped the resist, the wafers were measured using both an integrated scatterometer and a stand-alone CD-SEM. The measurements were then used to determine the appropriate amount to be trimmed by the Chemical Oxide Removal (COR) chamber that is also integrated onto the etch system. After the wafers were trimmed and etched, they were again measured on the integrated scatterometer and stand-alone CD-SEM. With the CD-SEM as the Reference Measurement System (RMS), Total Measurement Uncertainty (TMU) analysis was used to optimize the Optical Digital Profilometry (ODP) model, thus facilitating a significant reduction in gate linewidth variation. Because the measurement uncertainty of the scatterometer was reduced to a level approaching or below that of the RMS, an improvement to TMU analysis was developed. This improvement quantifies methods for determining the measurement uncertainty of the RMS under a variety of situations.


Metrology, inspection, and process control for microlithography. Conference | 2006

Integrated scatterometry in high-volume manufacturing for polysilicon gate etch control

Matthew Sendelbach; Andres Munoz; Kenneth A. Bandy; Dan Prager; Merritt Funk

For several years, integrated scatterometry has held the promise of wafer-level process control. While integrated scatterometry on lithography systems is being used in manufacturing, production implementation on etch systems is just beginning to occur. Because gate patterning is so important to yield, gate linewidth control is viewed by many as the most critical application for integrated scatterometry on etch systems. IBM has implemented integrated scatterometry on its polysilicon gate etch systems to control gate linewidth for its 90 nm node SOI-based microprocessors in its 300 mm manufacturing facility. This paper shows the performance of the scatterometry system and the equipment-based APC system used to control the etch process. Some of the APC methodology is described, as well as sampling strategies, throughput considerations, and scatterometry models. Results reveal that the scatterometry measurements correlate well to CD-SEM measurements before and after etch, and also correlate to electrical measurements. Finally, the improvement in linewidth distribution following the implementation of feedforward and feedback control in full manufacturing is shown.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Correlating scatterometry to CD-SEM and electrical gate measurements at the 90-nm node using TMU analysis

Matthew Sendelbach; Charles N. Archie; Bill Banke; Jason Mayer; Hideaki Nii; Pedro Herrera; Matt Hankinson

Currently, CD-SEMs are the tool of choice for in-line gate length measurements for most semiconductor manufacturers. This is in large part due to their flexibility, throughput, and ability to correlate well to physical measurements (e.g., XSEM). However, scatterometry is being used by an increasing number of manufacturers to monitor and control gate lengths. But can a scatterometer measure such small critical dimensions well enough? This paper explores this question by analyzing data taken from wafers processed using 90 nm node technology. These wafers were measured after gate formation (gate final CD) using a CD-SEM as well as a scatterometer. They were then processed into the back-end-of-line and measured electrically. This electrical measurement, called Lpoly, is an important parametric device measurement and is used to screen product before it reaches final electrical test. It is therefore critical for the in-line metrology immediately after gate formation to have excellent correlation to Lpoly. Analysis shows that the scatterometer correlates well to both in-line CD-SEM measurements across multiple structures as well as electrical Lpoly measurements. More importantly, the scatterometer is shown to be approximately equivalent to the CD-SEM when both are correlated to Lpoly. Since several scatterometry targets with different pitches were measured, the amount of correlation as a function of pitch is also investigated. Because traditional methods of correlation, such as Ordinary Least Squares (OLS), have severe limitations, Total Measurement Uncertainty (TMU) analysis is used as a highly effective assessment methodology. This paper also shows how TMU analysis is used to improve the scatterometry model and understand the relative contributions from obstacles that hinder the achievement of even better correlations.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Reducing measurement uncertainty drives the use of multiple technologies for supporting metrology

Bill Banke; Charles N. Archie; Matthew Sendelbach; Jim Robert; James A. Slinkman; Phil Kaszuba; Rick Kontra; Mick DeVries; Eric P. Solecky

Perhaps never before in semiconductor microlithography has there been such an interest in the accuracy of measurement. This interest places new demands on our in-line metrology systems as well as the supporting metrology for verification. This also puts a burden on the users and suppliers of new measurement tools, which both challenge and complement existing manufacturing metrology. The metrology community needs to respond to these challenges by using new methods to assess the fab metrologies. An important part of this assessment process is the ability to obtain accepted reference measurements as a way of determining the accuracy and Total Measurement Uncertainty (TMU) of an in-line critical dimension (CD). In this paper, CD can mean any critical dimension including, for example, such measures as feature height or sidewall angle. This paper describes the trade-offs of in-line metrology systems as well as the limitations of Reference Measurement Systems (RMS). Many factors influence each application such as feature shape, material properties, proximity, sampling, and critical dimension. These factors, along with the metrology probe size, interaction volume, and probe type such as e-beam, optical beam, and mechanical probe, are considered. As the size of features shrinks below 100nm some of the stalwarts of reference metrology come into question, such as the electrically determined transistor gate length. The concept of the RMS is expanded to show how multiple metrologies are needed to achieve the right balance of accuracy and sampling. This is also demonstrated for manufacturing metrology. Various comparisons of CDSEM, scatterometry, AFM, cross section SEM, electrically determined CDs, and TEM are shown. An example is given which demonstrates the importance in obtaining TMU by balancing accuracy and precision for selecting manufacturing measurement strategy and optimizing manufacturing metrology. It is also demonstrated how the necessary supporting metrology will bring together formerly unlinked technology fields requiring new measurement science. The emphasis on accuracy will increase the importance and role of NIST and similar metrology organizations in supporting the semiconductor industry in this effort.


Proceedings of SPIE | 2010

Use of multiple azimuthal angles to enable advanced scatterometry applications

Matthew Sendelbach; Alok Vaid; Pedro Herrera; Ted Dziura; Michelle Zhang; Arun R. Srivatsa

The ability to extract critical parameters using scatterometry depends on the parameter sensitivity and correlation at different wavelengths. These, in turn, determine the key metrics: accuracy, precision, and tool-to-tool matching. Parameter sensitivity and correlation can vary drastically, depending on whether the oblique incident light beam is parallel (azimuth angle = 90 degrees), perpendicular (azimuth angle = 0 degrees), or at an intermediate angle to the measured structures. In this paper, we explore the use of both variable- and multiple-azimuth (AZ) (or multi-AZ) angle spectroscopic ellipsometry (SE) to optimize the measurement performance for different applications. The first example compares the sensitivity and results using SE at 0 and 90 degree AZ angles for a BEOL post-litho metal trench application. We observe up to a sixfold improvement in key metrics for critical parameters using 90 degree over 0 degree AZ angle spectra. The second example illustrates the benefits of a multiple-AZ angle approach to extract critical parameters for a two-dimensional logic High-K Metal Gate (HKMG) structure. Typically, this approach simultaneously fits two sets of SE spectra collected from the same location on the wafer at different AZ angles with the same physical model. This helps both validate and decorrelate critical parameters, enabling robust measurements. Results show that, for this application, the measurement performance metrics for each critical parameter are improved in almost every case.


Proceedings of SPIE | 2012

Hybrid metrology solution for 1X node technology

Alok Vaid; Alexander Elia; Mark Kelling; John Allgair; Carsten Hartig; Peter Ebersbach; Erin Mclellan; Matthew Sendelbach; Nedal R. Saleh; Narender Rana; Hiroki Kawada; Toru Ikegami; Masahiko Ikeno; Takahiro Kawasaki; Cornel Bozdog; Helen Kim; Elad Arnon; Roy Koret; Igor Turovets

The accelerated pace of the semiconductor industry in recent years is putting a strain on existing dimensional metrology equipments (such as CDSEM, AFM, Scatterometry) to keep up with ever-increasing metrology challenges. However, a revolution appears to be forming with the recent advent of Hybrid Metrology (HM) - a practice of combining measurements from multiple equipment types in order to enable or improve measurement performance. In this paper we extend our previous work on HM to measure advanced 1X node layers - EUV and Negative Tone Develop (NTD) resist as well as 3D etch structures such as FinFETs. We study the issue of data quality and matching between toolsets involved in hybridization, and propose a unique optimization methodology to overcome these effects. We demonstrate measurement improvement for these advanced structures using HM by verifying the data with reference tools (AFM, XSEM, TEM). We also study enhanced OCD models for litho structures by modeling Line-edge roughness (LER) and validate its impact on profile accuracy. Finally, we investigate hybrid calibration of CDSEM to measure in-die resist line height by Pattern Top Roughness (PTR) methodology.


Proceedings of SPIE | 2013

Hybrid approach to optical CD metrology of directed self-assembly lithography

Stephane Godny; Masafumi Asano; Akiko Kawamoto; Koichi Wakamoto; Kazuto Matsuki; Cornel Bozdog; Matthew Sendelbach; Igor Turovets; Ronen Urenski; Renan Milo

Directed Self Assembly (DSA) for contact layers is a challenging process in need of reliable metrology for tight process control. Key parameters of interest are guide CD, polymer CD, and residual polymer thickness at the bottom of the guide cavity. We show that Optical CD (OCD) provides the needed performance for DSA contact metrology. The measurement, done with a multi-channel spectroscopic reflectometry (SR) system, is enhanced through elements of a Holistic Metrology approach such as Injection and Hybrid Metrology.


Proceedings of SPIE | 2013

Implementation of hybrid metrology at HVM fab for 20nm and beyond

Alok Vaid; Lokesh Subramany; Givantha Iddawela; Carl Ford; John Allgair; Gaurav K. Agrawal; John Taylor; Carsten Hartig; Byung Cheol (Charles) Kang; Cornel Bozdog; Matthew Sendelbach; Paul Isbester; Limor Issascharoff

Metrology tools are increasingly challenged by the continuing decrease in the device dimensions, combined with complex disruptive materials and architectures. These demands are not being met appropriately by existing/forthcoming metrology techniques individually. Hybrid Metrology (HM) – the practice to combine measurements from multiple toolset types in order to enable or improve the measurement of one or more critical parameters – is being incorporated by the industry to resolve these challenges. Continuing our previous work we now take the HM from the lab into the fab. This paper presents the first-in-industry implementation of HM within a High Volume Manufacturing (HVM) environment. Advanced 3D applications are the first to use HM: 20nm Contact etch and 14nm FinFET poly etch. The concept and main components of this Phase-1 Host-based implementation are discussed. We show examples of communication protocols/standards that have been specially constructed for HM for sharing data between the metrology tools and fab host in GLOBALFOUNDRIES, as well as the HM recipe setup and HVM results. Finally we discuss our vision and phased progression/roadmap for Phase-2 HM implementation to fully reap the benefits of hybridization.


Proceedings of SPIE | 2009

Product-driven material characterization for improved scatterometry time-to-solution

Alok Vaid; Carsten Hartig; Matthew Sendelbach; Cornel Bozdog; Hyang Kyun Helen Kim; Michael Sendler; Yoel Cohen; Victor Kucherov; Boaz Brill; Stanislav Stepanov

This paper discusses a novel methodology of material characterization that directly utilizes the scatterometry targets on the product wafer to determine the optical properties (n&k) of various constituent materials. Characterization of optical constants, or dispersions, is one of the first steps of scatterometry metrology implementation. A significant benefit of this new technique is faster time-to-solution, since neither multiple single-film depositions nor multi-film depositions on blanket/product wafers are needed, making obsolete a previously required-but very time-consuming-step in the scatterometry setup. We present the basic elements of this revolutionary method, describe its functionality as currently implemented, and contrast/compare results obtained by traditional methods of materials characterization with the new method. The paper covers scatterometry results from key enabling metrology applications, like high-k metal gate (postetch and post-litho) and Metal 2 level post-etch, to explore the performance of this new material characterization approach. CDSEM was used to verify the accuracy of scatterometry solutions. Furthermore, Total Measurement Uncertainty (TMU) analysis assisted in the interpretation of correlation data, and shows that the new technique provides measurement accuracy results equivalent to, and sometimes better than, traditional extraction techniques.

Collaboration


Dive into the Matthew Sendelbach's collaboration.

Top Co-Authors

Avatar

Cornel Bozdog

Weizmann Institute of Science

View shared research outputs
Top Co-Authors

Avatar

Alok Vaid

Advanced Micro Devices

View shared research outputs
Top Co-Authors

Avatar

Shay Wolfling

Weizmann Institute of Science

View shared research outputs
Top Co-Authors

Avatar

Roy Koret

Weizmann Institute of Science

View shared research outputs
Top Co-Authors

Avatar

Alok Vaid

Advanced Micro Devices

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge