Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Eric P. Solecky is active.

Publication


Featured researches published by Eric P. Solecky.


IEEE Transactions on Semiconductor Manufacturing | 2007

Value-Added Metrology

Benjamin Bunday; John Allgair; Mark Caldwell; Eric P. Solecky; Charles N. Archie; Bryan J. Rice; Bhanwar Singh; Jason P. Cain; Iraj Emami

The conventional premise, long-touted among the semiconductor processing community, that metrology is a ldquonon-value-added necessary evil,rdquo is a misleading and dangerous assertion, which must be viewed as obsolete thinking. Many metrology applications are key enablers to traditionally labeled ldquovalue-addedrdquo processing steps in lithography and etch, such that they can be considered integral parts of the processes. Various key trends in modern, state-of-the-art processing such as optical proximity correction, design for manufacturability, and advanced process control are based, at their hearts, on the assumption of fine-tuned metrology, in terms of uncertainty and accuracy. These trends are vehicles where metrology thus has large opportunities to create value through the engineering of tight and targetable process distributions. Such distributions make possible predictability in speed-sorts and in other parameters, which results in a high-end product. Additionally, significant reliance has also been placed on defect metrology to predict, improve, and reduce yield variability. The necessary quality metrology is strongly influenced by not only the choice of equipment, but also the quality application of these tools in a production environment. The ultimate value added by metrology is a result of quality tools run by a quality metrology team using quality practices. This paper will explore the relationships among present and future trends and challenges in metrology, including equipment, key applications, and metrology deployment in the manufacturing flow. Of key importance are metrology personnel, with their expertise, practices, and metrics in achieving and maintaining the required level of metrology performance, including where precision, matching, and accuracy fit into these considerations. The value of metrology will be demonstrated to have shifted to being a ldquokey enabler of large revenues,rdquo debunking the out-of-date premise that metrology is ldquonon-value-added.rdquo


Proceedings of SPIE | 2013

In-line E-beam wafer metrology and defect inspection: the end of an era for image-based critical dimensional metrology? New life for defect inspection

Eric P. Solecky; Oliver D. Patterson; Andrew Stamper; Erin Mclellan; Ralf Buengener; Alok Vaid; Carsten Hartig; Benjamin Bunday; Abraham Arceo; Aron Cepler

Metrology measurement and defect inspection steps in routes are more pervasive than many people realize and the number continues to grow. Digging deeper, it turns out that E-beam metrology and defect inspection tools typically occupy the most overall steps and therefore are extremely critical tools for semiconductor development and manufacturing. The Critical Dimension Scanning Electron Microscope (CDSEM) is an E-beam tool responsible for image-based structural metrology measurements while the E-beam review (EBR) and E-beam inspection (EBI) tools are responsible for defect inspection. The CDSEM faces significant future challenges in a world where device architectures are changing (as with the FinFET device), increasing the need for more structural measurement parameters such as sidewall angle, height and undercut, than the CDSEM can deliver. These applications are now migrating to scattering-based tools, also referred to as model- or computational-based structural metrology techniques. We explore the history of the CDSEM, the key fundamental limits (primarily resolution) of the CDSEM preventing it from capturing these applications and assess if an image-based structural metrology tool is still needed given the niche that scattering tools are filling. The answer is yes. Next we discuss whether the CDSEM will eventually meet those needs or if other alternative solutions are needed. Lastly, we discuss an industry survey on which image-based technique holds the most promise to solve these challenges. Regarding defect inspection, resolution is also a concern for the applications that need to detect extremely small defects which current optical-based Brightfield tools cannot address. While resolution is a primary concern in structural metrology, current EBI and EBR tools possess the resolution needed for defect inspection and have extendibility through at least the next advanced technology node. We also explore the niche E-beam is filling in defect inspection, evaluate the idea that a better synergistic solution exists today between the CDSEM and the EBI tools and propose a future E-beam landscape where E-beam tool variety in future fabs is significantly reduced to enhance productivity.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Reducing measurement uncertainty drives the use of multiple technologies for supporting metrology

Bill Banke; Charles N. Archie; Matthew Sendelbach; Jim Robert; James A. Slinkman; Phil Kaszuba; Rick Kontra; Mick DeVries; Eric P. Solecky

Perhaps never before in semiconductor microlithography has there been such an interest in the accuracy of measurement. This interest places new demands on our in-line metrology systems as well as the supporting metrology for verification. This also puts a burden on the users and suppliers of new measurement tools, which both challenge and complement existing manufacturing metrology. The metrology community needs to respond to these challenges by using new methods to assess the fab metrologies. An important part of this assessment process is the ability to obtain accepted reference measurements as a way of determining the accuracy and Total Measurement Uncertainty (TMU) of an in-line critical dimension (CD). In this paper, CD can mean any critical dimension including, for example, such measures as feature height or sidewall angle. This paper describes the trade-offs of in-line metrology systems as well as the limitations of Reference Measurement Systems (RMS). Many factors influence each application such as feature shape, material properties, proximity, sampling, and critical dimension. These factors, along with the metrology probe size, interaction volume, and probe type such as e-beam, optical beam, and mechanical probe, are considered. As the size of features shrinks below 100nm some of the stalwarts of reference metrology come into question, such as the electrically determined transistor gate length. The concept of the RMS is expanded to show how multiple metrologies are needed to achieve the right balance of accuracy and sampling. This is also demonstrated for manufacturing metrology. Various comparisons of CDSEM, scatterometry, AFM, cross section SEM, electrically determined CDs, and TEM are shown. An example is given which demonstrates the importance in obtaining TMU by balancing accuracy and precision for selecting manufacturing measurement strategy and optimizing manufacturing metrology. It is also demonstrated how the necessary supporting metrology will bring together formerly unlinked technology fields requiring new measurement science. The emphasis on accuracy will increase the importance and role of NIST and similar metrology organizations in supporting the semiconductor industry in this effort.


Journal of Micro-nanolithography Mems and Moems | 2012

Time-dependent electron-beam-induced photoresist shrinkage effects

Benjamin Bunday; Aaron Cordes; Carsten Hartig; John Allgair; Alok Vaid; Eric P. Solecky; Narender Rana

We explore how photoresist shrinkage behavior due to e-beam measurement by critical dimension-scanning electron microscope (CD-SEM) depends on various time-related factors. This will include an investigation of how the photoresist critical dimension (CD) and CD shrinkage varies with photoresist age and the differences in shrinkage trends between load/unload and static and dynamic repeatability cases, where time between measurements is a key variable. The results for this typical immersion argon flouride photoresist process will show that resist CD and shrinkage variation due to resist age and vacuum-cycling is insignificant, yet the shrinkage is strongly linked to time between consecutive measurements, with a well-defined, high-certainty logarithmic decay with time. These experiments identify a key difference between the shrinkage seen in static versus dynamic measurements, which will be shown to have far-reaching implications for the shrinkage phenomenon in general and for the best-known methods for executing CD-SEM metrology with photoresist samples.


Proceedings of SPIE | 2011

Tool-to-tool matching issues due to photoresist shrinkage effects

Benjamin Bunday; Aaron Cordes; Carsten Hartig; John Allgair; Alok Vaid; Eric P. Solecky; Narender Rana

Photoresist shrinkage is an important systematic uncertainty source in critical dimension-scanning electron microscope (CD-SEM) metrology of lithographic features. In terms of metrology gauge metrics, it influences both the precision and the accuracy of CD-SEM measurements, while locally damaging the sample. Minimization or elimination of shrinkage is desirable, yet elusive. Because this error source will furthermore be a factor in CD-SEM metrology on polymer materials, learning to work around this issue is necessary. Tool-to-tool matching is another important component of measurement uncertainty that metrologists must control in high volume manufacturing, and photoresist samples are a most difficult case due to shrinkage effects, as tool-to-tool biases can vary based on the sample or other parameters. In this work, we explore different shrinkage effects and their influence on matching. This will include an investigation of how the photoresist shrinkage rate varies with time from the chemical development of the photoresists, which necessitates that measurements on different tools within a group be performed in rapid succession to avoid additional error. The differences in shrinkage rates between static and dynamic load/unload cases will also be addressed, as these effects also influence matching. The results of these dynamic effect experiments will be shown to have far-reaching implications for the shrinkage phenomenon in general. Finally, various sampling schemes for matching will be explored, through both simulation and experiment, for use with shrinking materials. Included is a method whereby various fleet tools measure different locations, once per tool, within a uniform line/space grating. Finally, we will assess how well matching can be achieved using these techniques.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Simultaneous critical dimension and overlay measurements on a SEM through target design for inline manufacturing lithography control

Eric P. Solecky; Jaime D. Morillo

This paper introduces the capability of measuring overlay (OL) errors (current level to prior level errors and neighboring field errors) and critical dimension (CD) errors simultaneously on a Critical Dimension Scanning Electron Microscope (CD SEM). In the past OL errors and CD errors have been measured on different tools sets. CD errors have always been measured on SEMs and OL errors have always been measured on optical tools. In both cases, measurements were obtained on separate target designs. The key to this paper is in the design of the targets. We combine, in one target design, the ability to extract OL and CD errors simultaneously. Current OL targets designs are limited by the resolution of that type of tool which is on the order of 1um, this means that current OL target designs are created at ground rules larger than this (typically 2-3um in size) and at ground rules much larger than the circuit design. A target design that allows the OL and CD to be measured at the ground rules of the circuit would be a much more desirable measurement and takes advantage of the SEMs strengths which include resolution. Additionally, a target design that allows current level to prior level OL, neighboring field OL and CD errors to be measured simultaneously would be extremely desirable. The key is designing the targets for cases where prior level information can be seen on the SEM, this can be performed on many levels throughout chip construction, probably more than half of all levels. This methodology will significantly reduce the time it takes to build parts, improve technical performance and save tool cost.


Optical Microlithography X | 1997

Phase-shift focus monitor applications to lithography tool control

Donald C. Wheeler; Eric P. Solecky; T. Dinh; Rebecca D. Mih

Through the use of phase shift techniques, focus errors have been demonstrated to result in easily measurable overlay shifts in printed resist patterns. Using box-in-box with phase shifter design, patterns are printed on wafers and measured on standard overlay equipment. Results are compared to more conventional methods of focus detection. Details include measurement and calibration methodology, focus, and focus tilt results. Additionally, SPC in IBMs ASTC Fab is demonstrated with the Phase Shift Focus Monitor.


Metrology, Inspection, and Process Control for Microlithography XVII | 2003

New apparent beam width artifact and measurement methodology for CD-SEM resolution monitoring

Jason Mayer; Kylee J. Huizenga; Eric P. Solecky; Charles N. Archie; George W. Banke; Robert M. Cogley; Claudine Nathan; James M. Robert

The Apparent Beam Width (ABW) total system resolution metric is part of the International SEMATECH CDSEM specification and bench marking activities. It is also used in our own CDSEM specification, evaluations, and tool maintenance activities. Our first set of ABW artifacts, constructed a few years ago, need retirement for several reasons, including: (1) their materials and dimensions no longer represent current manufacturing line samples and (2) their line edge variation is too large for current and future ABW applications. The construction and testing of a new ABW artifact will be discussed in this paper. The use of ABW as a monitor for total system resolution requires a unique set of sample characteristics, which include near vertical sidewalls, minimal top corner rounding, minimal line edge roughness (LER), and good line edge uniformity across the artifact set. Several process iterations were performed using the latest photolithographic processes whilst including numerous measurement evaluations in order to achieve these characteristics. A sampling methodology has been formulated to take advantage of the good within-field, field-to-field, and wafer-to-wafer uniformities of the artifacts. In addition to driving resolution improvements ABW also serves as a metric for tool-to-tool matching in a manufacturing environment.


Metrology, inspection, and process control for microlothoggraphy. Conference | 2001

Three-dimensional top-down metrology: a viable alternative to AFM or cross-section?

Eric P. Solecky; Charles N. Archie; Timothy S. Hayes; G. William Banke; Roger Cornell

Automated critical dimension (CD) metrology has long been known to have certain limitations. As a top down imaging technique, retrograde profiles, resist thickness loss and other process issues are difficult to detect with the standard production CD SEMs used throughout the industry. Tilting capability has recently added much needed degrees of freedom to CD SEMs, potentially opening the door to three dimensional metrology. Various methodologies can be used to interpret tilt image information. This paper investigates one particular technique used to extract three dimensional information. Using cross section and/or atomic force microscopy (AFM), one can evaluate the potential benefits and validity of CD SEM tilt capability. Sidewall angle, film thickness and possibly other parameters could possibly become standard calculations the top down CD SEM could perform on a routine basis. Data is reported comparing 3D information generated from top down CD SEM to AFM. Potentially, a couple of different approaches to evaluating 3D information will be compared. Lastly, recommendations for future applications will be discussed.


Proceedings of SPIE | 2009

Monitoring measurement tools: new methods for driving continuous improvements in fleet measurement uncertainty

Eric P. Solecky; Chas Archie; Matthew Sendelbach; Ron Fiege; Mary Zaitz; Dmitriy Shneyder; Carlos Strocchia-rivera; Andres Munoz; Srinivasan Rangarajan; William A. Muth; Andrew Brendler; Bill Banke; Bernd Schulz; Carsten Hartig; Jon-Tobias Hoeft; Alok Vaid; Mark C. Kelling; Benjamin Bunday; John Allgair

Ever shrinking measurement uncertainty requirements are difficult to achieve for a typical metrology toolset, especially over the entire expected life of the fleet. Many times, acceptable performance can be demonstrated during brief evaluation periods on a tool or two in the fleet. Over time and across the rest of the fleet, the most demanding processes often have measurement uncertainty concerns that prevent optimal process control, thereby limiting premium part yield, especially on the most aggressive technology nodes. Current metrology statistical process control (SPC) monitoring techniques focus on maintaining the performance of the fleet where toolset control chart limits are derived from a stable time period. These tools are prevented from measuring product when a statistical deviation is detected. Lastly, these charts are primarily concerned with daily fluctuations and do not consider the overall measurement uncertainty. It is possible that the control charts implemented for a given toolset suggest a healthy fleet while many of these demanding processes continue to suffer measurement uncertainty issues. This is especially true when extendibility is expected in a given generation of toolset. With this said, there is a need to continually improve the measurement uncertainty of the fleet until it can no longer meet the needed requirements at which point new technology needs to be entertained. This paper explores new methods in analyzing existing SPC monitor data to assess the measurement performance of the fleet and look for opportunities to drive improvements. Long term monitor data from a fleet of overlay and scatterometry tools will be analyzed. The paper also discusses using other methods besides SPC monitors to ensure the fleet stays matched; a set of SPC monitors provides a good baseline of fleet stability but it cannot represent all measurement scenarios happening in product recipes. The analyses presented deal with measurement uncertainty on non-measurement altering metrology toolsets such as scatterometry, overlay, atomic force microscopy (AFM) or thin film tools. The challenges associated with monitoring toolsets that damage the sample such as the CD-SEMs will also be discussed. This paper also explores improving the monitoring strategy through better sampling and monitor selection. The industry also needs to converge regarding the metrics used to describe the matching component of measurement uncertainty so that a unified approach is reached regarding how to best drive the much needed improvements. In conclusion, there will be a discussion on automating these new methods3,4 so they can complement the existing methods to provide a better method and system for controlling and driving matching improvements in the fleet.

Collaboration


Dive into the Eric P. Solecky's collaboration.

Top Co-Authors

Avatar

Alok Vaid

Advanced Micro Devices

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge