Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ashwini Sarathy is active.

Publication


Featured researches published by Ashwini Sarathy.


IEEE Transactions on Computers | 2008

Adaptive Channel Buffers in On-Chip Interconnection Networks— A Power and Performance Analysis

Avinash Karanth Kodi; Ashwini Sarathy; Ahmed Louri

On-chip interconnection networks (OCINs) have emerged as a modular and scalable solution for wire delay constraints in deep submicron VLSI design. OCIN research has shown that the design of buffers in the router influences the energy consumption, area overhead, and overall performance of the network. In this paper, we propose a low-power low-area OCIN architecture by reducing the number of buffers within the router. To minimize the performance degradation due to the reduced buffer size, we use the already existing repeaters along the inter-router channels to double as buffers along the channel when required. At low network loads, the proposed adaptive channel buffers function as conventional repeaters, propagating the signals. At high network loads, the adaptive channel buffers function as storage elements in addition to the router buffers. The router buffers can be assigned either statically or dynamically to the incoming packets. Static allocation reserves equal buffer space partitioned among all of the incoming packets, whereas dynamic allocation reserves buffer space on a per-flit basis, enabling higher buffer occupancy. We evaluate the proposed adaptive channel buffers with both static and dynamic buffer allocation policies in the 90-nm technology node, using 8 times 8 mesh and folded torus network topologies. Simulation results using the SPLASH-2 suite benchmarks and synthetic traffic patterns show that, by reducing the router buffer size, our proposed architecture achieves nearly 40 percent savings in router buffer power, 30 percent savings in overall network power, and 41 percent savings in area, with only a marginal 1-5 percent drop in throughput under dynamic buffer allocation and about 10-20 percent drop in throughput for statically assigned buffers.


architectures for networking and communications systems | 2007

Design of adaptive communication channel buffers for low-power area-efficient network-on-chip architecture

Avinash Karanth Kodi; Ashwini Sarathy; Ahmed Louri

Network-on-Chip (NoC)architectures provide a scalable solution to the wire delay constraints in deep submicron VLSI designs. Recent research into the ptimization of NoC architectures has shown that the design of buffers in the NoC routers influences the power consumption, area overhead and performance of the entire network. In this paper, we propose a low-power area-efficient NoC architecture by reducing the number of router buffers. As a reduction in the number of buffers degrades the networks performance, we propose to use the existing repeaters along the inter-router links as adaptive channel buffers for storing data when required. We evaluate the proposed adaptive communication channel buffers under static and dynamic buffer allocation in 8 x 8 mesh and folded torus network topologies. Simulation results show that reducing the router buffer size in half and using the adaptive channel buffers reduces the buffer power by 40-52% and leads to a 17-20% savings in overall network power with a 50% reduction in router area. The design with dynamic buffer allocation shows a marginal 1-5% drop in performance, while static buffer allocation shows a 10-20% drop in performance, for various traffic patterns.


asia and south pacific design automation conference | 2009

Adaptive inter-router links for low-power, area-efficient and reliable Network-on-Chip (NoC) architectures

Avinash Karanth Kodi; Ashwini Sarathy; Ahmed Louri; Janet Meiling Wang

The increasing wire delay constraints in deep sub-micron VLSI designs have led to the emergence of scalable and modular Network-on-Chip (NoC) architectures. As the power consumption, area overhead and performance of the entire NoC is influenced by the router buffers, research efforts have targeted optimized router buffer design. In this paper, we propose iDEAL - inter-router, dual-function energy and area-efficient links capable of data transmission as well as data storage when required. iDEAL enables a reduction in the router buffer size by controlling the repeaters along the links to adaptively function as link buffers during congestion, thereby achieving nearly 30% savings in overall network power and 35% reduction in area with only a marginal 1 – 3% drop in performance. In addition, aggressive speculative flow control further improves the performance of iDEAL. Moreover, the significant reduction in power consumption and area provides sufficient headroom for monitoring Negative Bias Temperature Instability (NBTI) effects in order to improve circuit reliability at reduced feature sizes.


IEEE Transactions on Very Large Scale Integration Systems | 2013

Extending the Energy Efficiency and Performance With Channel Buffers, Crossbars, and Topology Analysis for Network-on-Chips

Dominic DiTomaso; Randy Morris; Avinash Karanth Kodi; Ashwini Sarathy; Ahmed Louri

Network-on-chips (NoCs) have emerged as a scalable solution to the wire delay constraints, thereby providing a high-performance communication fabric for future multicores. Research has shown that power, area, and performance of the NoC architecture are tightly integrated with the design and optimization of the link, router (buffer and crossbar), and topology. Recent work has shown that adaptive channel buffers (on-link storage) can considerably reduce power consumption and area overhead by reducing or replacing the power-hungry router buffers. However, channel buffer design can lead to head-of-line (HoL) blocking, which eventually reduces the throughput of the network. In this paper, we design channel buffers and router crossbars to improve the performance (latency, throughput) while reducing the power consumption. In addition, we implement the proposed channel buffers and crossbar organizations in a concentrated torus (CTorus) topology which is a dual network without the additional area overhead. We compare other dual networks with leading topologies such as mesh2X, concentrated mesh2X (CMesh2X), and flattened butterfly2X (FBfly2X), each implemented with channel buffers. Our proposed designs analyze the power-performance-area tradeoff in designing channel buffers for NoC architectures while alleviating HoL blocking through buffer organizations and crossbar optimizations. Results using Synopsys design compiler showed that the buffer and crossbar organizations for an 8 × 8 mesh architecture can reduce power consumption by 25%-40%, improve throughput and reduce latency by 525%, while occupying 4%-13% more area when compared to the baseline architecture for both synthetic as well as real benchmark traces such as Princeton Application Repository for Shared-Memory Computers (PARSEC) and Standard Performance Evaluation Corporation (SPEC). CPU2006. When the energy-efficient buffer and crossbar organization was inserted into our CTorus topology, we further reduced energy dissipation by 32% and area by 53%, on average, over mesh2X, CMesh2X, and FBfly2X.


international conference on computer aided design | 2011

Co-design of channel buffers and crossbar organizations in NoCs architectures

Avinash Karanth Kodi; Randy Morris; Dominic DiTomaso; Ashwini Sarathy; Ahmed Louri

Network-on-Chips (NoCs) have emerged as a scalable solution to the wire delay constraints, thereby providing a high-performance communication fabric for future multicores. Research has shown that power, area and performance of Network-on-Chips (NoCs) architecture are tightly integrated with the design and optimization of the link and router (buffer and crossbar). Recent work has shown that adaptive channel buffers (on-link storage) can considerably reduce power consumption and area overhead by reducing or replacing the power hungry router buffers. However, channel buffer design can lead to Head-of-Line (HoL) blocking which eventually reduces the throughput of the network. In this paper, we explore the design space of organizing channel buffers and router crossbars to improve the performance (latency, throughput) while reducing the power consumption. Our proposed designs analyze the power-performance-area trade-off in designing channel buffers for NoC architectures while overcoming HoL blocking through crossbar optimizations. Our simulation and NoC design synthesis shows that for a 8 × 8 mesh architecture, we can reduce the power consumption by 25–40%, improve performance by 10–25% while occupying 4–13% more area when compared to the baseline architecture.


ieee international symposium on parallel & distributed processing, workshops and phd forum | 2013

Design of a Concentrated Torus Topology with Channel Buffers and Efficient Crossbars in NoCs

Dominic DiTomaso; Randy Morris; Evan Jolley; Ashwini Sarathy; Ahmed Louri; Avinash Karanth Kodi

Excess power dissipation along with increased leakage currents in router buffers and crossbars are becoming a major constraint that is affecting the performance of Network-on-Chips (NoCs) architectures. In this paper, we design channel buffers and router crossbars in a concentrated torus topology (CTorus) which is a dual network without the additional area overhead. When compared to other dual networks, CTorus improves saturation throughput by 11-20% for synthetic traffic and improves speedup by 1.78-2.15X for real benchmark traces such as PARSEC and SPEC CPU2006. When the energy-efficient buffer and crossbar organization was inserted into our CTorus topology, we reduced energy dissipation by 32% and area by 53% on average over mesh2X, CMesh2X and FBfly2X.


international symposium on computer architecture | 2008

iDEAL: Inter-router Dual-Function Energy and Area-Efficient Links for Network-on-Chip (NoC) Architectures

Avinash Karanth Kodi; Ashwini Sarathy; Ahmed Louri


Electronics Letters | 2008

Low-power low-area network-on-chip architecture using adaptive electronic link buffers

Ashwini Sarathy; Avinash Karanth Kodi; Ahmed Louri


Archive | 2009

Fault-and variation-tolerant energy-and area-efficient links for network-on-chips

Ahmed Louri; Janet Roveda; Avinash Karanth Kodi; Ashwini Sarathy


Archive | 2009

Method for Inter-Router Dual-Function Energy- and Area-Efficient Links for Network-on-Chips

Ahmed Louri; Janet Roveda; Avinash Karanth Kodi; Ashwini Sarathy

Collaboration


Dive into the Ashwini Sarathy's collaboration.

Top Co-Authors

Avatar

Ahmed Louri

George Washington University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge