Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Bernhard Kneer is active.

Publication


Featured researches published by Bernhard Kneer.


Proceedings of SPIE | 2009

Experimental result and simulation analysis for the use of pixelated illumination from source mask optimization for 22nm logic lithography process

Kafai Lai; Alan E. Rosenbluth; Saeed Bagheri; John A. Hoffnagle; Kehan Tian; David O. Melville; Jaione Tirapu-Azpiroz; Moutaz Fakhry; Young Kim; Scott Halle; Greg McIntyre; Alfred Wagner; Geoffrey W. Burr; Martin Burkhardt; Daniel Corliss; Emily Gallagher; Tom Faure; Michael S. Hibbs; Donis G. Flagello; Joerg Zimmermann; Bernhard Kneer; Frank Rohmund; Frank Hartung; Christoph Hennerkes; Manfred Maul; Robert Kazinczi; Andre Engelen; Rene Carpaij; Remco Jochem Sebastiaan Groenendijk; Joost Hageman

We demonstrate experimentally for the first time the feasibility of applying SMO technology using pixelated illumination. Wafer images of SRAM contact holes were obtained to confirm the feasibility of using SMO for 22nm node lithography. There are still challenges in other areas of SMO integration such as mask build, mask inspection and repair, process modeling, full chip design issues and pixelated illumination, which is the emphasis in this paper. In this first attempt we successfully designed a manufacturable pixelated source and had it fabricated and installed in an exposure tool. The printing result is satisfactory, although there are still some deviations of the wafer image from simulation prediction. Further experiment and modeling of the impact of errors in source design and manufacturing will proceed in more detail. We believe that by tightening all kind of specification and optimizing all procedures will make pixelated illumination a viable technology for 22nm or beyond. Publishers Note: The author listing for this paper has been updated to include Carsten Russ. The PDF has been updated to reflect this change.


Proceedings of SPIE | 2015

EUV lithography optics for sub-9nm resolution

Bernhard Kneer; Sascha Migura; Winfried Kaiser; Jens Timo Neumann; Jan van Schoot

EUV lithography for resolution below 9 nm requires the numerical aperture of the projection optics to be significantly larger than 0.45. A configuration of 4x magnification, full field size and 6’’ reticle is not feasible anymore. The increased chief ray angle and higher NA at reticle lead to non-acceptable shadowing effects, which can only be controlled by increasing the magnification, hence reducing the system productivity. We demonstrate that the best compromise in imaging, productivity and field split is a so-called anamorphic magnification and a half field of 26 x 16.5 mm². We discuss the optical solutions for anamorphic high-NA lithography.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Immersion lithography with an ultrahigh-NA in-line catadioptric lens and a high-transmission flexible polarization illumination system

Hans Jasper; Theo Modderman; Mark van de Kerkhof; Christian Wagner; Jan Mulkens; Wim de Boeij; Eelco van Setten; Bernhard Kneer

A second phase in the immersion era is starting with the introduction of ultra high NA (NA >1) systems. These systems are targeting for 45 nm node device production and beyond. ASML TWINSCAN XT:1700i features a maximum NA of 1.2 and a 26x33 mm2 scanner field size. The projection lens is an in-line catadioptric lens design and the AERIAL XP illumination system enables conventional an off-axis illumination pupil shapes in either polarized or un-polarized modes at maximum light efficiency. In this paper a description and a performance overview of the TWINSCAN XT:1700i is given. We will present and discuss lithographic performance results, with special attention at low-k1 imaging using high NA and polarized illumination. Overlay, focus and productivity performance will also be presented.


Proceedings of SPIE | 2007

Modeling polarization for hyper-NA lithography tools and masks

Kafai Lai; Alan E. Rosenbluth; Geng Han; Jaione Tirapu-Azpiroz; Jason Meiring; Aksel Goehnermeier; Bernhard Kneer; Michael Totzeck; Laurens de Winter; Wim de Boeij; Mark van de Kerkhof

We present a comprehensive modeling study of polarization effects for the whole optical chain including exposure tool and mask, with strong emphasis on the impact of the Jones Matrix of the projection lens. First we start with the basic of polarization and then the polarization effect of each components of the optical chain will be discussed. Components investigated are source polarization, rigorous EMF effect, mask blank birefringence, pellicle effect and projection lens. We also focus on comparing the relative merits of different types of representation of Jones matrix of the projection lens and outlined ways to decompose the Jones Matrix. Methodologies such as Pauli matrix, PQM, Jones-Zernike expansion and IPS-Zernike expansion are among the ones investigated. The polarization impact on lithography and OPC on realistic 45nm and 32nm node process levels is discussed. Issues in OPC modeling with Jones Matrix is highlighted. Concerns regarding the standardization of the implementation of Jones Matrix in the lithography community are considered and a standard has been proposed and received wide acceptance. Last we discuss the challenge of using polarization and some novel ideas to deal with polarization in hyper NA era. Throughout the paper the resist component is not included so as to isolate the effect of resist from that of the other components.


Proceedings of SPIE | 2017

The future of EUV lithography: enabling Moore's Law in the next decade

Alberto Pirati; Jan van Schoot; Kars Zeger Troost; Rob van Ballegoij; Peter Krabbendam; Judon Stoeldraijer; Erik Roelof Loopstra; Jos Benschop; Jo Finders; Hans Meiling; Eelco van Setten; Niclas Mika; Jeannot Dredonx; Uwe Stamm; Bernhard Kneer; Bernd Thuering; Winfried Kaiser; Tilmann Heil; Sascha Migura

While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their development activities on a EUV exposure tool with Numerical Aperture greater than 0.5. The purpose of this scanner, targeting a resolution of 8nm, is to extend Moore’s law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the required Numerical Aperture; this lens will be paired with new, faster stages and more accurate sensors enabling Moore’s law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling, and possibly in the metrology concepts. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the key technology innovations and infrastructure requirements for the next generation EUV systems.


Proceedings of SPIE | 2015

Imaging performance of EUV lithography optics configuration for sub-9nm resolution

Jens Timo Neumann; Matthias Rösch; Paul Gräupner; Sascha Migura; Bernhard Kneer; Winfried Kaiser; Koen van Ingen Schenau

New design solutions are available for high-NA EUV optics, maintaining simultaneously superior imaging performance and productivity below 9nm resolution by means of anamorphic imaging. We investigate the imaging properties of these new optics configurations by rigorous simulations, taking into account mask induced effects as well as characteristics of the new optics. We compare the imaging behavior to other, more traditional optics configurations, and show that the productivity gain of our new configurations is indeed obtained at excellent imaging performance.


31st European Mask and Lithography Conference | 2015

Anamorphic high-NA EUV lithography optics

Sascha Migura; Bernhard Kneer; Jens Timo Neumann; Winfried Kaiser; Jan van Schoot

EUV lithography (EUVL) for a limit resolution below 8 nm requires the numerical aperture (NA) of the projection optics to be larger than 0.50. For such a high-NA optics a configuration of 4x magnification, full field size of 26 x 33 mm² and 6’’ mask is not feasible anymore. The increased chief ray angle and higher NA at reticle lead to non-acceptable mask shadowing effects. These shadowing effects can only be controlled by increasing the magnification, hence reducing the system productivity or demanding larger mask sizes. We demonstrate that the best compromise in imaging, productivity and field split is a so-called anamorphic magnification and a half field of 26 x 16.5 mm² but utilizing existing 6’’ mask infrastructure. We discuss the optical solutions for such anamorphic high-NA EUVL.


Proceedings of SPIE | 2015

EUV High-NA scanner and mask optimization for sub 8 nm resolution

Jan van Schoot; Koen van Ingen Schenau; Gerardo Bottiglieri; Kars Zeger Troost; John Zimmerman; Sascha Migura; Bernhard Kneer; Jens Timo Neumann; Winfried Kaiser

EUV lithography for resolution below 8 nm half pitch requires the numerical aperture (NA) of the projection lens to be significantly larger than the current state-of-the-art 0.33NA. In order to be economically viable, a throughput in the range of 100 wafers per hour is needed. As a result of the increased NA, the incidence angles of the light rays at the mask increase significantly. Consequently the shadowing and the variation of the multi-layer reflectivity deteriorate the aerial image contrast to unacceptably low values at the current 4x magnification. The only solution to reduce the angular range at the mask is to increase the magnification. Simulations show that we have to double the magnification to 8x in order to overcome the shadowing effects. Assuming that the mask infrastructure will not change the mask form factor, this would inevitably lead to a field size that is a quarter of the field size of current 0.33NA step and scan systems. This would reduce the throughput of the high-NA scanner to a value significantly below 100 wafers per hour unless additional measures are taken. This paper presents an anamorphic step and scan system capable to print fields that are half the field size of the current full field. The anamorphic system has the potential to achieve a throughput in excess of 150 wafers per hour by increasing the transmission of the optics as well as increasing the acceleration of the wafer stage and mask stage. This makes it an economically viable lithography solution. The proposed 4x/8x magnification is not the only logical solution. There are potentially other magnifications to increase the scanner performance while at the same time reducing the mask requirements.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Catadioptric lens design: the breakthrough to hyper-NA optics

Bernhard Kneer; Paul Gräupner; Reiner Garreis; Ralph Kläsges; Heiko Feldmann

To enable optical lithography for sub 55 nm features, ArF immersion lithography requires numerical apertures to be significantly larger than 1 - thus leading to new challenges for optical design. Refractive lens designs are not capable to capture these extreme etendues. Catadioptric lens designs can overcome these fundamental issues by keeping the diameters of the optical materials acceptable. We have studied various catadioptric design approaches. The main criteria used to evaluate the potential of the different solutions include mechanical complexity, reticle compatibility, optical sensitivities, polarization capabilities, image field shape, as well as enabling extendibility to even higher NAs. Our assessment leads us to a new design type called catadioptric in-line design which shows superior performance for high NA systems with NA > 1.1.


Extreme Ultraviolet (EUV) Lithography IX | 2018

The future of EUV lithography: continuing Moore's Law into the next decade

Jan van Schoot; Kars Zeger Troost; Frank Bornebroek; Rob van Ballegoij; Sjoerd Lok; Peter Krabbendam; Judon Stoeldraijer; Jos Benschop; Jo Finders; Hans Meiling; Eelco van Setten; Bernhard Kneer; Peter Kuerz; Winfried Kaiser; Tilmann Heil; Sascha Migura

While 0.33NA EUV systems are readying to start volume manufacturing, ASML and Zeiss are ramping up development activities on a 0.55NA EUV exposure tool, extending Moore’s law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the NA; this lens will be paired with new, faster stages and more accurate sensors and the tight focus and overlay control needed for future process nodes. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of ASML’s next generation EUV systems.

Collaboration


Dive into the Bernhard Kneer's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge