Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Brian Cardineau is active.

Publication


Featured researches published by Brian Cardineau.


Proceedings of SPIE | 2012

A new inorganic EUV resist with high-etch resistance

Markos Trikeriotis; Marie Krysak; Yeon Sook Chung; Christine Ouyang; Brian Cardineau; Robert Brainard; Christopher K. Ober; Emmanuel P. Giannelis; Kyoungyong Cho

Performance requirements for EUV resists will necessitate the development of entirely new resist platforms. As outlined in the ITRS, the new resists for EUVL must show high etch resistance (to enable pattern transfer using thinner films), improved LER and high sensitivity. A challenge in designing these new resists is the selection of molecular structures that will demonstrate superior characteristics in imaging and etch performance while maintaining minimal absorbance at EUV wavelengths. We have previously described the use of inorganic photoresists in 193 nm and e-beam lithography. These inorganic photoresists are made of HfO2 nanoparticles and have shown etch resistance that is 25 times higher than polymer resists. The high etch resistance of these materials allow the processing of very thin films (< 40 nm) and will push the resolution limits below 20 nm without pattern collapse. Additionally, the small size of the nanoparticles (< 5 nm) leads to low LER while the absorbance at EUV wavelengths is low. In this presentation we show that these inorganic resists can be applied to EUV lithography. We have successfully achieved high resolution patterning (<30 nm) with very high sensitivity and low LER.


Proceedings of SPIE | 2014

EUV Resists based on Tin-Oxo Clusters

Brian Cardineau; Ryan Del Re; Hashim Al-Mashat; Miles Marnell; Michaela Vockenhuber; Yasin Ekinci; Chandra Sarma; Mark Neisser; Daniel A. Freedman; Robert L. Brainard

We have studied the photolysis of tin clusters of the type [(RSn)12O14(OH)6] X2 using extreme ultraviolet (EUV, 13.5 nm) light, and developed these clusters into novel high-resolution photoresists. A thin film of [(BuSn)12O14(OH)6][p-toluenesulfonate]2 (1) was prepared by spin coating a solution of (1) in 2-butanone onto a silicon wafer. Exposure to EUV light caused the compound (1) to be converted into a substance that was markedly less soluble in aqueous isopropanol. To optimize the EUV lithographic performance of resists using tin-oxo clusters, and to gain insight into the mechanism of their photochemical reactions, we prepared several compounds based on [(RSn)12O14(OH)6] X2. The sensitivity of tin-oxide films to EUV light were studied as a function of variations in the structure of the counter-anions (X, primarily carboxylates) and organic ligands bound to tin (R). Correlations were sought between the EUV sensitivity of these complexes vs. the strength of the carbon-carboxylate bonds in the counteranions and vs. the strength of the carbon-tin bonds. No correlation was observed between the strength of the carboncarboxylate bonds in the counter-anions (X) and the EUV photosensitivity. However, the EUV sensitivity of the tinoxide films appears to be well-correlated with the strength of the carbon-tin bonds. We hypothesize this correlation indicates a mechanism of carbon-tin bond homolysis during exposure. Using these tin clusters, 18-nm lines were printed showcasing the high resolution capabilities of these materials as photoresists for EUV lithography.


Journal of Micro-nanolithography Mems and Moems | 2015

Low-line edge roughness extreme ultraviolet photoresists of organotin carboxylates

Ryan Del Re; James Passarelli; Miriam Sortland; Brian Cardineau; Yasin Ekinci; Elizabeth Buitrago; Mark Neisser; Daniel A. Freedman; Robert L. Brainard

Abstract. Pure thin films of organotin compounds have been lithographically evaluated using extreme ultraviolet lithography (EUVL, 13.5 nm). Twenty compounds of the type R2Sn(O2CR′)2 were spin-coated from solutions in toluene, exposed to EUV light, and developed in organic solvents. Exposures produced negative-tone contrast curves and dense-line patterns using interference lithography. Contrast-curve studies indicated that the photosensitivity is linearly related to the molecular weight of the carboxylate group bound to tin. Additionally, photosensitivity was found to be linearly related to free radical stability of the hydrocarbon group bound directly to tin (R=phenyl, butyl, and benzyl). Dense-line patterning capabilities varied, but two resists in particular show exceptionally good line edge roughness (LER). A resist composed of an amorphous film of (C6H5CH2)2Sn(O2CC(CH3)3)2 (1) achieved 1.4 nm LER at 22-nm half-pitch patterning and a resist composed of (C6H5CH2)2Sn(O2CC6H5)2 (2) achieved 1.1 nm LER at 35-nm half-pitch at high exposure doses (600  mJ/cm2). Two photoresists that use olefin-based carboxylates, (C6H5CH2)2Sn(O2CCH⏧CH2)2 (3) and (C6H5CH2)2Sn(O2CC(CH3)⏧CH2)2 (4), demonstrated better photospeeds (5  mJ/cm2 and 27  mJ/cm2) but worse LER.


Proceedings of SPIE | 2012

Synthesis of stable acid amplifiers that produce strong highly-fluorinated polymer-bound acid

Kenji Hosoi; Brian Cardineau; William Earley; Seth Kruger; Koichi Miyauchi; Robert L. Brainard

A novel series of stable, acid amplifiers (AAs) has been designed and tested for use in Extreme Ultraviolet (EUV) lithography, that generate strong, fluorinated polymer bound sulfonic acids. Novel polymer bound and blended AAs were prepared in moderate to good yields and characterized by NMR. We demonstrated by EUV lithography that the polymer bound AA resist has line-edge roughness (LER) values of 3.8 nm and the polymer blended AA resist has LER values of 2.1 nm while the control resist has LER values of 4.6 nm. Although sensitivity comparisons have yet to be made, these new resists using bound and blended AAs are showing remarkable improvements in LER when compared with the control resist without AAs.


Proceedings of SPIE | 2015

Low-LER tin carboxylate photoresists using EUV

Ryan Del Re; Miriam Sortland; James Pasarelli; Brian Cardineau; Yasin Ekinci; Michaela Vockenhuber; Mark Neisser; Daniel A. Freedman; Robert L. Brainard

Pure thin films of organotin compounds have been lithographically evaluated using extreme ultraviolet lithography (EUVL, 13.5 nm). Twenty-one compounds of the type R2Sn(O2CR’)2 were spin-coated from solutions in toluene, exposed to EUV light, and developed in organic solvents. Exposures produced negative-tone contrast curves and dense-line patterns using interference lithography. Contrast-curve studies indicated that the Emax values were linearly related to molecular weight when plotted separately depending upon the hydrocarbon group bound directly to tin (R = butyl, phenyl and benzyl). Additionally, Emax was found to be linearly related to free radical stability of the hydrocarbon group bound directly to tin. Dense-line patterning capabilities varied, but two resists in particular show exceptionally good line edge roughness (LER). A resist composed of an amorphous film of (C6H5CH2)2Sn(O2CC(CH3)3)2 (13) achieved 1.4 nm LER at 22 nm half-pitch patterning and a resist composed of (C6H5CH2)2Sn(O2CC6H5)2 (14) achieved 1.1 nm LER at 35 nm half-pitch at high exposure doses (600 mJ/cm2). Two photoresists that use olefin-based carboxylates, (C6H5CH2)2Sn(O2CCH=CH2)2 (11) and (C6H5CH2)2Sn(O2CC(CH3)=CH2)2 (12), demonstrated much improved photospeeds (5 mJ/ cm2 and 27 mJ/cm2) but with worse LER.


Proceedings of SPIE | 2016

Metal oxide EUV photoresist performance for N7 relevant patterns and processes

Jason K. Stowers; Jeremy T. Anderson; Brian Cardineau; Benjamin L. Clark; Peter De Schepper; Joseph Edson; Michael Greer; Kai Jiang; Michael Kocsis; Stephen T. Meyers; Alan J. Telecky; Andrew Grenville; Danilo De Simone; Werner Gillijns; Geert Vandenberghe

Inpria continues to leverage novel metal oxide materials to produce high resolution photoresists for EUV lithography with high optical density and etch resistance. Our resists have previously demonstrated 13nm line/space patterns at 35 mJ/cm2, with extendibility to 10nm half-pitch.1 We have continued to improve photospeed and in this work we provide an update on imaging performance. Since practical patterns for EUV layers will be more complicated than line/space patterns, we also expand on our previous work by demonstrating 2D resist performance using N7 (7nm node) contact and block mask patterns on full field scanners. A resist model has been created and using this model comparisons are made between a metal oxide resist and CAR platforms. Based on this physical model, the impact of shot noise is examined in relation to realistic 2D features. Preliminary data on the effect on OPC of using a non-chemically amplified resist are also presented.


Proceedings of SPIE | 2014

EUV resists comprised of main group organometallic oligomeric materials

James Passarelli; Brian Cardineau; Ryan Del Re; Miriam Sortland; Michaela Vockenhuber; Yasin Ekinci; Chandra Sarma; Mark Neisser; Daniel A. Freedman; Robert L. Brainard

We present the synthesis and preliminary lithographic evaluation of Molecular Organometallic Resists for EUV (MORE) that contain post transition metals. These post transition metal nuclei have high EUV optical density so they can utilize a high fraction of the incident photons. We will describe two technical approaches for EUV resist platforms that contain bismuth. Approach 1: Combination of organometallic compounds with photoacid generators. Approach 2: Combination of high-oxidation state metal-center oligomers that utilize carboxylate anions bound to the metal centers.


Proceedings of SPIE | 2012

Stable, fluorinated acid amplifiers for use in EUV lithography

Seth Kruger; Kenji Hosoi; Brian Cardineau; Koichi Miyauchi; Robert L. Brainard

This paper presents two new concepts that together provide a 100,000X improvement in stability for AAs that produce highly-fluorinated, strong sulfonic acids. These two new design concepts are based on (1) an olefin-trigger structure and (2) a trifluoromethyl group alpha to the sulfonic ester. These new concepts led to the synthesis of the first stable acid amplifier that generates triflate acid and for the synthesis of AAs that are stable enough to be used as monomers in free-radical polymerization reactions yet produce very strong, fluorinated acids. Lastly, we present preliminary results where one new AA is able to improve the LER of a control resist from 4.6 ± 0.5 nm to 2.1 ± 0.1 nm.


Proceedings of SPIE | 2012

Tightly bound ligands for hafnium nanoparticle EUV resists

Brian Cardineau; Marie Krysak; Markos Trikeriotis; Emmanuel P. Giannelis; Christopher K. Ober; Kyoungyong Cho; Robert Brainard

Relative ligand binding energies were determined for a series of common ligand types with hafnium oxide nanoparticles, and from these results a series of novel strong binding ligands were developed. The relative equilibrium concentrations of two competing ligands bound to the nanoparticles were measured using nuclear magnetic resonance spectroscopy (NMR). For each ligand type, equilibrium constants and relative binding energies were then calculated and compared. Methane sulfonic acid was found to have the strongest binding energy, 2.0 Kcal/mol stronger than acetic acid. A group of three sulfonate ligands capable of freeradical crosslinking were made, along with three sulfonate ligands capable of creating aqueous developable nanoparticles. One of these ligands resulted in insoluble nanoparticles, however, the other two ligands resulted in nanoparticles that coated well on a silicon substrate and had dissolution rates greater than 100 nm per second.


Proceedings of SPIE | 2011

Mass spectrometer characterization of reactions in photoresists exposed to extreme ultraviolet radiation

Chimaobi Mbanaso; Seth Kruger; Craig Higgins; Yashdeep Khopkar; Alin Antohe; Brian Cardineau; Gregory Denbeaux

The development of resists that meet the requirements for resolution, line edge roughness and sensitivity remains one of the challenges for extreme ultraviolet (EUV) lithography. Two important processes that contribute to the lithographic performance of EUV resists involve the efficient decomposition of a photoacid generator (PAG) to yield a catalytic acid and the subsequent deprotection of the polymer in the resist film. We investigate these processes by monitoring the trends produced by specific masses outgassing from resists following EUV exposure and present our initial results. The resists tested are based on ESCAP polymer and either bis(4-tert-butylphenyl)iodonium perfluoro-1-butanesulfonate or bis(4-tert-butylphenyl)iodonium triflate. The components originating from the PAG were monitored at various EUV exposure doses while the deprotection of the polymer was monitored by baking the resist in vacuum and detecting the cleaved by-product from the polymer with an Extrel quadruple mass spectrometer.

Collaboration


Dive into the Brian Cardineau's collaboration.

Top Co-Authors

Avatar

Robert L. Brainard

State University of New York System

View shared research outputs
Top Co-Authors

Avatar

Daniel A. Freedman

State University of New York at New Paltz

View shared research outputs
Top Co-Authors

Avatar

Ryan Del Re

State University of New York System

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Yasin Ekinci

Paul Scherrer Institute

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge