Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Mark Neisser is active.

Publication


Featured researches published by Mark Neisser.


Journal of Micro-nanolithography Mems and Moems | 2012

Implementation of a chemo-epitaxy flow for directed self-assembly on 300-mm wafer processing equipment

Paulina A. Rincon Delgadillo; Roel Gronheid; Christopher J. Thode; Hengpeng Wu; Yi Cao; Mark Neisser; Mark Somervell; Kathleen Nafus; Paul F. Nealey

Abstract. The implementation of our previously reported chemo-epitaxy method for directed self-assembly (DSA) of block copolymers (BCPs) on 300-mm wafers is described in detail. Some challenges to be addressed include edge bead removal control of the layers forming the exposure stack and uniformity of the deposited films across the wafer. With the fine tuning of the process conditions, this flow provides chemically nanopatterned substrates with well-defined geometry and chemistry. After a film of BCP is annealed on the chemical patterns, high degrees of perfection are achieved. A BCP with natural periodicity of 25 nm was assembled on100-nm pitch prepatterns, obtaining 4X feature multiplication. Top-down scanning electron microscope images show a wide process window with depth of focus >200  nm and exposure latitude >40% for lines and spaces of 12.5-nm half-pitch. We provide a platform for future study of the origin of DSA generated defects and their relationship to process conditions and materials that are amenable to use by the semiconductor industry.


Proceedings of SPIE | 2011

EUV underlayer materials for 22nm HP and beyond

Huirong Yao; Zachary Bogusz; Jianhui Shan; JoonYeon Cho; Salem K. Mullen; Guanyang Lin; Mark Neisser

EUV lithography is expected to be an important technology for manufacturing 22 nm node and beyond in the semiconductor industry. To achieve the desired resist RLS performance for such fine feature patterns, multilayer materials are almost certainly needed to define the overall lithography process. The resist modeling and experiment studies suggest high EUV absorbance of the film improves resolution, line width roughness and sensitivity. In this paper, we report the studies of new EUV underlayers (EBL) based on crosslinkable organic underlayer materials with high EUV photon absorption (EPA) unit. The lithography results for the new EUV underlayer materials have demonstrated advantages over conventional organic underlayer in terms of resist sensitivity, resolution, process window, pattern profile, collapse margin, and possibly line width roughness.


Advances in resist technology and processing. Conference | 2005

193nm dual layer organic BARCs for high NA immersion lithography

David J. Abdallah; Mark Neisser; Ralph R. Dammel; Georg Pawlowski; S. Ding; Francis M. Houlihan; Andrew Romano; John J. Biafore; Alex K. Raub

Extending the resolution capability of 193nm lithography through the implementation of immersion has created new challenges for ArF B.A.R.C.s. The biggest of which will be controlling reflectivity over a wider range of incident angles of the incoming imaging rays. An optimum B.A.R.C. thickness will depend on the angle of incidence of the light in the B.A.R.C. and will increase as the angle increases. At high angles different polarization have different optimum thicknesses. These confounding effects will make it increasingly difficult to control reflectivity over a range of angles through interference effects within a single homogenous B.A.R.C. Unlike single layer B.A.R.C.s, multilayer B.A.R.C.s are capable of suppressing reflectivity through a wide range of incident angles. In fact, remarkable improvements in antireflective properties can be achieved with respect to CD control and through angle performance with the simplest form of a multilayer B.A.R.C., a dual layer. Here we discuss the attributes of an all organic dual layer B.A.R.C. through simulations and preliminary experiments. One attribute of an organic over inorganic B.A.R.C. in high-NA lithography is its ability to planarize topography. ArF scanners designed to meet the needs of the 45nm node will have a very small depth-of-focus (DOF) which will require planar surfaces.


Proceedings of SPIE | 2007

Second-generation radiation sensitive developable bottom anti-reflective coatings (DBARC) and implant resists approaches for 193-nm lithography

Francis M. Houlihan; Alberto D. Dioses; Medhat A. Toukhy; Andrew Romano; Joseph E. Oberlander; Hengpeng Wu; Salem K. Mullen; Alexandra Krawicz; Ping-Hung Lu; Mark Neisser

We will discuss our approach towards a second generation radiation sensitive developable bottom antireflective coating (DBARCs) for 193 nm. We will show imaging results (1:1 L/S features down to 140 nm) for some first generation implant resist material based upon a fluorinated resins and also show relative implant resistance of these first generation fluorinated resists towards As implantation (15 KeV at 5x1015 dose with 20 x 10-4 amp). Also, discussed will be a second generation of implant resists based on a non-fluorinated resins. Surprisingly, we found that the nonfluorinated materials gave better implant resistance (~2-3 X1011 atoms/cm2) despite the higher atomic number of fluorine compared to hydrogen in the fluorinated implant materials (~2-5X1012 atoms/cm2). Finally, we will give an update on the lithographic performance of this second generation of implant resists.


Proceedings of SPIE | 2007

Organic ArF bottom anti-reflective coatings for immersion lithography

Zhong Xiang; Hong Zhuang; Hengpeng Wu; Jianhui Shan; Dave Abdallah; Jian Yin; Salem K. Mullen; Huirong Yao; Eleazar Gonzalez; Mark Neisser

Substrate reflectivity control plays an important role in immersion lithography. Multilayer bottom anti-reflective coatings (B.A.R.C.s) become necessary. This paper will focus on the recent development in organic ArF B.A.R.C. for immersion lithography. Single layer low k ArF B.A.R.C.s in conjunction with multilayer CVD hard mask and dual layer organic ArF B.A.R.C. application will be discussed. High NA dry and wet lithography data will be presented. We will also present the etch rate data, defect data and out-gassing property of these new B.A.R.C. materials.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

New polymers for 193-nm single-layer resists based on substituted cycloolefins/maleic anhydride resins

Ilya L. Rushkin; Francis M. Houlihan; J. M. Kometani; Richard S. Hutton; Allen G. Timko; Elsa Reichmanis; Omkaram Nalamasu; Allen H. Gabor; Arturo N. Medina; Sydney G. Slater; Mark Neisser

A series of new polymers for 193 nm single layer resist based on maleic anhydride/cycloolefin systems with minimum amount of acrylate units were synthesized. In order to minimize the acrylate content, the cycloolefin moiety of the polymers was functionalized with side groups designed to either promotes adhesion to silicon substrate and/or impart the imaging functionality. All polymers were prepared by free-radical polymerization in moderate to high yields and were characterized by variety of techniques. The initial lithographic evaluation of the new resists was carried out. It was found that acrylates can be successfully replaced with appropriately substituted cycloolefins to provide good resolution. The etch resistance of the new materials generally improves with increase in cycloolefin content. The Onishi and Kunz type plots will be discussed.


Proceedings of SPIE | 2008

Second-generation radiation sensitive 193-nm developable bottom antireflective coatings (DBARC): recent results

Francis M. Houlihan; Alberto D. Dioses; Lin Zhang; Joseph E. Oberlander; Alexandra Krawicz; Sumathy Vasanthan; Meng Li; Yayi Wei; Ping-Hung Lu; Mark Neisser

We will discuss our recent results using a second generation radiation sensitive developable 193 Bottom Antireflective coatings (DBARCs). These DBARC materials are made solvent resistant the application of a resist coating on top of them through a crosslinking mechanism that is reversible by acid catalyzed reaction upon exposure of the DBARC/resist stack. Typically this is done by crosslinking a copolymer containing a hydroxyl moiety with a polyfunctional vinylether during post applied bake. This DBARC approach, after exposure, allows for development of the stack in exposed areas down to the substrate eschewing the plasma etch breakthrough needed for conventional bottom antireflective coatings which are irreversibly crosslinked. We will give an update on the performance our latest 193 nm DBARC materials used with different Implant 193 nm resists when using a phase shift mask with off axis illumination.


Proceedings of SPIE | 2008

Etching spin-on trilayer masks

David J. Abdallah; Shinji Miyazaki; Aritaka Hishida; Allen Timko; Douglas Mckenzie; Dalil Rahman; Woo-Kyu Kim; Lyudmila Pylneva; Hengpeng Wu; Ruzhi Zhang; Ping-Hung Lu; Mark Neisser; Ralph R. Dammel

Spin-on trilayer materials are increasingly being integrated in high density microfabrication that use high NA ArF lithography due to dwindling photoresist film thicknesses, lower integration cost and reduced complexity compared to analogous CVD stacks. To guide our development in spin-on trilayer materials we have established etch conditions on an ISM etcher for pattern transfer through trilayer hard masks. We report here a range of etch process variables and their impact on after-etch profiles and etch selectivity with AZ trilayer hard mask materials. Trilayer pattern transfer is demonstrated using 1st and 2nd minimum stacks with various pattern types. Etch recipes are then applied to blanket coated wafers to make comparisons between etch selectivities derived from patterned and blanket coated wafers.


Proceedings of SPIE | 2009

Reworkable Spin-on Trilayer Materials: Optimization of Rework Process and Solutions for Manufacturability

Ruzhi Zhang; Allen Timko; John Zook; Yayi Wei; Lyudmila Pylneva; Yi Yi; Chenghong Li; Hengpeng Wu; Dalil Rahman; Douglas Mckenzie; Clement Anyadiegwu; Ping-Hung Lu; Mark Neisser; Ralph R. Dammel; Ron Bradbury; Timothy Lee

Trilayer stacks with alternating etch selectivity were developed and extensively investigated for high NA immersion lithography at 32nm node and beyond. The conveyance of pattern transfer function from photoresist to Si-containing bottom anti-reflective coating (Si-BARC) and carbonrich underlayer hard-mask (UL) elegantly solved the small etch budget issue for ultra-thin photoresists in immersion lithography. However, due to the hybrid nature of Si-BARC, many different behaviors were observed in comparison to conventional BARC. Lithographic performance, stability, and reworkability were among the most challenging issues for trilayer scheme. Despite of the rapid improvement in lithographic performance and stability of trilayer materials reported by several papers, the rework and cleaning of trilayer materials by wet chemistry remained a challenging problem for manufacturability. The dual function requirement of reflection control and pattern transfer (i.e. hard-masking) for spin-on Si-BARC mandates hybrid materials. Si-BARC containing both organic moiety and inorganic backbone were extensively studied and demonstrated excellent performance. However, the hybrid nature of Si-BARC necessitates the revisit of different wet chemistries and process adjustment is essential to achieve desirable results. In addition, the similarity in chemical structures between Si-BARC and low-κ dielectrics demands subtle rework differentiation by wet chemistry from a chemistry point of view. In our development, we strived to identify rework solutions for trilayer materials in both front-end-of-line (FEOL) and back-end-of-line (BEOL) applications. Rework solutions including diluted HF, Piranha, and low-κ compatible strippers were extensively investigated. The optimization of solution mixture ratios and processing conditions was systematically studied. Thorough defect inspection after rework was performed to ensure the readiness for manufacturability. Extensive Piranha rework study on stack wafers and monitor wafers were carried out and excellent results are reported.


Proceedings of SPIE | 2009

Radiation sensitive developable bottom anti-reflective coatings (DBARC): recent results

Francis M. Houlihan; Alberto D. Dioses; Takanori Kudo; Meng Li; Lin Zhang; Sumathy Vasanthan; Srinivasan Chakrapani; Deepa Parthasarathy; Charito Antonio; Edward Ng; Ping-Hung Lu; Mark Neisser; Munirathna Padmanaban

Second generation, radiation sensitive, developable 193 Bottom Antireflective coatings (DBARCs) are made solvent resistant through a crosslinking mechanism activated during post apply bake (PAB) that is reversible by acid catalyzed reaction upon exposure of the DBARC/resist stack. This allows coating the resists on the DBARC, after PAB, without dissolution of the antireflective coating. This DBARC approach avoids the plasma etch breakthrough needed for conventional bottom antireflective coatings which are irreversibly crosslinked, while maintaining excellent reflectivity control, typically lower than 1% on bare Si. We will give an update on the performance our latest 193 nm DBARC prototype materials used with different conventional alicyclic based 193 nm resists. For instance, using a binary mask with conventional illumination several of our prototype DBARC formulations were able to resolve 120 nm trench features with a 250 nm pitch.

Collaboration


Dive into the Mark Neisser's collaboration.

Top Co-Authors

Avatar

Ping-Hung Lu

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Hengpeng Wu

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Guanyang Lin

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Ruzhi Zhang

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Woo-Kyu Kim

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Huirong Yao

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Hong Zhuang

AZ Electronic Materials

View shared research outputs
Researchain Logo
Decentralizing Knowledge