Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Markos Trikeriotis is active.

Publication


Featured researches published by Markos Trikeriotis.


Journal of Materials Chemistry | 2010

High refractive index and high transparency HfO2 nanocomposites for next generation lithography

Woo Jin Bae; Markos Trikeriotis; Jing Sha; Evan L. Schwartz; Robert Rodriguez; Paul Zimmerman; Emmanuel P. Giannelis; Christopher K. Ober

HfO2 nanoparticles stabilized with selected ligands possess high refractive index and low absorbance under 193 nm radiation. These materials combined with an appropriate photopolymer were used as a nanocomposite photoresist. The resulting nanocomposite materials were used successfully for high resolution patterning.


Proceedings of SPIE | 2012

A new inorganic EUV resist with high-etch resistance

Markos Trikeriotis; Marie Krysak; Yeon Sook Chung; Christine Ouyang; Brian Cardineau; Robert Brainard; Christopher K. Ober; Emmanuel P. Giannelis; Kyoungyong Cho

Performance requirements for EUV resists will necessitate the development of entirely new resist platforms. As outlined in the ITRS, the new resists for EUVL must show high etch resistance (to enable pattern transfer using thinner films), improved LER and high sensitivity. A challenge in designing these new resists is the selection of molecular structures that will demonstrate superior characteristics in imaging and etch performance while maintaining minimal absorbance at EUV wavelengths. We have previously described the use of inorganic photoresists in 193 nm and e-beam lithography. These inorganic photoresists are made of HfO2 nanoparticles and have shown etch resistance that is 25 times higher than polymer resists. The high etch resistance of these materials allow the processing of very thin films (< 40 nm) and will push the resolution limits below 20 nm without pattern collapse. Additionally, the small size of the nanoparticles (< 5 nm) leads to low LER while the absorbance at EUV wavelengths is low. In this presentation we show that these inorganic resists can be applied to EUV lithography. We have successfully achieved high resolution patterning (<30 nm) with very high sensitivity and low LER.


Proceedings of SPIE | 2010

Development of an inorganic photoresist for DUV, EUV, and electron beam imaging

Markos Trikeriotis; Woo Jin Bae; Evan L. Schwartz; Marie Krysak; Neal Lafferty; Peng Xie; Bruce W. Smith; Paul Zimmerman; Christopher K. Ober; Emmanuel P. Giannelis

The trend of ever decreasing feature sizes in subsequent lithography generations is paralleled by the need to reduce resist thickness to prevent pattern collapse. Thinner films limit the ability to transfer the pattern to the substrate during etch steps, obviating the need for a hardmask layer and thus increasing processing costs. For the 22 nm node, the critical aspect ratio will be less than 2:1, meaning 40-45 nm thick resists will be commonplace. To address this problem, we have developed new inorganic nanocomposite photoresists with significantly higher etch resistance than the usual polymer-based photoresists. Hafnium oxide nanoparticles are used as a core to build the inorganic nanocomposite into an imageable photoresist. During the sol-gel processing of nanoparticles, a variety of organic ligands can be used to control the surface chemistry of the final product. The different ligands on the surface of the nanoparticles give them unique properties, allowing these films to act as positive or negative tone photoresists for 193 nm or electron beam lithography. The development of such an inorganic resist can provide several advantages to conventional chemically amplified resist (CAR) systems. Beyond the etch resistance of the material, several other advantages exist, including improved depth of focus (DOF) and reduced line edge roughness (LER). This work will show etch data on a material that is ~3 times more etch-resistant than a PHOST standard. The refractive index of the resist at 193 nm is about 2.0, significantly improving the DOF. Imaging data, including cross-sections, will be shown for 60 nm lines/spaces (l/s) for 193 nm and e-beam lithography. Further, images and physical characteristics of the materials will be provided in both positive and negative tones for 193 nm and e-beam lithography.


Proceedings of SPIE | 2011

Development of an inorganic nanoparticle photoresist for EUV, e-beam, and 193nm lithography

Marie Krysak; Markos Trikeriotis; Evan L. Schwartz; Neal Lafferty; Peng Xie; Bruce W. Smith; Paul Zimmerman; Warren Montgomery; Emmanuel P. Giannelis; Christopher K. Ober

We have developed a transparent, high refractive index inorganic photoresist with significantly higher etch resistance than even the most robust polymeric resist. As feature sizes continue to decrease, film thickness must be reduced in order to prevent pattern collapse. Normally thinner films prevent sufficient pattern transfer during the etch process, creating the need for a hardmask, thus increasing production cost. Compared to PHOST, we have shown over 10 times better etch resistance. Organic photo-crosslinkable ligands have been attached to a hafnium oxide nanoparticle core to create an imageable photoresist. This resist has shown superior resolution with both E-beam and 193 nm lithography, producing sub-50 nm patterns. In addition to improved etch resistance, the inorganic photoresist exhibits a high refractive index, increasing the depth of focus (DOF). The nanoparticle size of ~ 1-2 nm has the potential to reduce line edge roughness (LER).


Proceedings of SPIE | 2013

Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning

Souvik Chakrabarty; Christine Ouyang; Marie Krysak; Markos Trikeriotis; Kyoungyoung Cho; Emmanuel P. Giannelis; Christopher K. Ober

DUV, EUV and e-beam patterning of hybrid nanoparticle photoresists have been reported previously by Ober and coworkers. The present work explores the underlying mechanism that is responsible for the dual tone patterning capability of these photoresist materials. Spectroscopic results correlated with mass loss and dissolution studies suggest a ligand exchange mechanism responsible for altering the solubility between the exposed and unexposed regions.


Proceedings of SPIE | 2012

Tightly bound ligands for hafnium nanoparticle EUV resists

Brian Cardineau; Marie Krysak; Markos Trikeriotis; Emmanuel P. Giannelis; Christopher K. Ober; Kyoungyong Cho; Robert Brainard

Relative ligand binding energies were determined for a series of common ligand types with hafnium oxide nanoparticles, and from these results a series of novel strong binding ligands were developed. The relative equilibrium concentrations of two competing ligands bound to the nanoparticles were measured using nuclear magnetic resonance spectroscopy (NMR). For each ligand type, equilibrium constants and relative binding energies were then calculated and compared. Methane sulfonic acid was found to have the strongest binding energy, 2.0 Kcal/mol stronger than acetic acid. A group of three sulfonate ligands capable of freeradical crosslinking were made, along with three sulfonate ligands capable of creating aqueous developable nanoparticles. One of these ligands resulted in insoluble nanoparticles, however, the other two ligands resulted in nanoparticles that coated well on a silicon substrate and had dissolution rates greater than 100 nm per second.


Proceedings of SPIE | 2009

High-refractive index nanoparticle fluids for 193-nm immersion lithography

Markos Trikeriotis; Robert Rodriguez; Michael F. Zettel; Aristeidis Bakandritsos; Woo Jin Bae; Paul Zimmerman; Christopher K. Ober; Emmanuel P. Giannelis

A critical issue preventing the implementation of 193nm immersion lithography (193i) to the 32nm node is the availability of high refractive index (n > 1.8) and low optical absorption fluids. To overcome these issues, we have synthesized high refractive index nanoparticles and introduced them into the immersion fluid to increase the refractive index. Hydrolysis and sol-gel methods have been implemented to grow high refractive index nanoparticles with diameters of 3-4nm. Depending on the synthetic route, it is possible to produce stable suspensions of nanoparticles in either aqueous or organic solvents, making it possible to synthesize a stable high-index immersion fluid.


Journal of Photopolymer Science and Technology | 2012

Nanoparticle photoresists from HfO2 and ZrO2 for EUV patterning

Markos Trikeriotis; Marie Krysaki; Yeon Sook Chung; Christine Ouyang; Brian Cardineau; Robert L. Brainard; Christopher K. Ober; Emmanuel P. Giannelis; Kyiungyong Cho


Journal of Photopolymer Science and Technology | 2013

Nanoparticle Photoresists: Ligand Exchange as a New, Sensitive EUV Patterning Mechanism

Marie Kryask; Markos Trikeriotis; Christine Ouyang; Sovik Chakrabarty; Emmanuel P. Giannelis; Christopher K. Ober


Journal of Photopolymer Science and Technology | 2008

The use of Nanocomposite Materials for High Refractive Index Immersion Lithography

Paul Zimmerman; Bryan J. Rice; Robert Rodriguez; Micahel F. Zettel; Markos Trikeriotis; Dongyan Wang; Yi Yi; Woo Jin Bae; Christopher K. Ober; Emanuel Giannelis

Collaboration


Dive into the Markos Trikeriotis's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Brian Cardineau

State University of New York System

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge