Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Andy Ma is active.

Publication


Featured researches published by Andy Ma.


Proceedings of SPIE | 2008

Film quantum yields of EUV& ultra-high PAG photoresists

Elsayed Hassanein; Craig Higgins; Patrick Naulleau; Richard Matyi; Gregg M. Gallatin; Gregory Denbeaux; Alin Antohe; Jim Thackeray; Kathleen Spear; Charles R. Szmanda; Christopher N. Anderson; Dimitra Niakoula; Matthew Malloy; Anwar Khurshid; Cecilia Montgomery; Emil Piscani; Andrew C. Rudack; Jeff D. Byers; Andy Ma; Kim Dean; Robert Brainard

Base titration methods are used to determine C-parameters for three industrial EUV photoresist platforms (EUV- 2D, MET-2D, XP5496) and twenty academic EUV photoresist platforms. X-ray reflectometry is used to measure the density of these resists, and leads to the determination of absorbance and film quantum yields (FQY). Ultrahigh levels of PAG show divergent mechanisms for production of photoacids beyond PAG concentrations of 0.35 moles/liter. The FQY of sulfonium PAGs level off, whereas resists prepared with iodonium PAG show FQYs that increase beyond PAG concentrations of 0.35 moles/liter, reaching record highs of 8-13 acids generated/EUV photons absorbed.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Clean mask shipping module development and demonstration for EUVL masks and blanks

Pei-Yang Yan; Long He; Andy Ma; Kevin J. Orvek

As semiconductor technology nodes continue shrinking down to 45nm and below, the requirements for number of particle adders and their size during optical mask blank shipment are getting tighter and tighter. In the case of extreme ultra-violet lithography (EUVL) for 32nm and below technology nodes, the requirements for shipping the final mask product are even more stringent. It virtually requires zero particle adders or single digit particle adders (if local mask clean tool is equipped at wafer fab) at 30nm size for 32nm technology node and even smaller size for the 22nm technology node. This EUVL mask handling specific issue is due to the lack of pellicle material available at EUV wavelength, because of strong EUV light absorption by all solid materials. In the past few years, several benchmarking studies on mask handling and shipping without pellicles have been conducted by different companies. The results indicated that many improvements are needed to bring down the handling and shipping induced particle adders at the required 30nm size for the 32nm technology node. In this study, we have evaluated particle generation at ≥60nm PSL equivalent size during mask shipment. We have demonstrated zero particle adders in shipping by using mask carriers with simple design. Our study included different commercially available carriers and non-commercially available carrier with designs to further minimize the particle generation and deposition onto the mask critical surface. The study has also shown that both the carrier design and the shipping packaging are responsible for clean mask transportation. The smallest particle size (60nm) evaluated in this study is limited by the metrology capability. Further evaluation for particle adders at size ≤60nm requires new development for higher sensitivity inspection capability.


Proceedings of SPIE | 2008

Advanced extreme ultraviolet resist testing using the SEMATECH Berkeley 0.3-NA microfield exposure tool

Patrick P. Naulleau; Christopher N. Anderson; Jerrin Chiu; Kim Dean; Paul Denham; Kenneth A. Goldberg; Brian Hoef; Sungmin Huh; Gideon Jones; Bruno M. LaFontaine; Andy Ma; Dimitra Niakoula; Joo-on Park; Tom Wallow

Microfield exposure tools (METs) continue to play a dominant role in the development of extreme ultraviolet (EUV) resists. Here we present an update on the SEMATECH Berkeley 0.3-NA MET and summarize the latest test results from high-resolution line-space and contact-hole printing. In practice, the resolution limit of contact-hole printing is generally dominated by contact size variation that is often speculated to originate form shot noise effects. Such observations of photon-noise limited performance are concerning because they suggest that future increased resist sensitivity would not be feasible. Recent printing data, however, indicates that the contact size variation problem is currently not a result of shot noise but rather attributable to the mask in combination with the resist-dominated mask error enhancement factor (MEEF). Also discussed is the importance of the contribution of the system-level line-edge roughness (LER) to resist LER values currently obtained with the SEMATECH Berkeley MET. We present the expected magnitude of such effects and compare the results to observed trends in LER performance from EUV resists over the past few years.


Proceedings of SPIE | 2008

Benchmarking of commercial EUVL resists at SEMATECH

Andy Ma; Joo-on Park; Kim Dean; Stefan Wurm; Patrick P. Naulleau

Extreme ultraviolet lithography (EUVL) is one of the leading candidates for next-generation lithography technology for the 32 nm half-pitch node and beyond. The availability of EUV resists is one of the most significant challenges facing its commercialization. A successful commercial EUV resist must simultaneously meet resolution, line width roughness (LWR), photosensitivity, and resist outgassing specifications. Photosensitivity is of particular concern because it couples directly to source power requirements and the source is widely viewed as the most daunting challenge facing EUV commercialization. To accelerate EUV resist development, SEMATECH has two programs that provide the resist community access to EUV exposure capability: 1) the EUV Resist Test Center (RTC) at SEMATECH at Albany, SUNY, and 2) the SEMATECH microexposure tool (MET) at Lawrence Berkeley National Laboratory. SEMATECH uses both facilities to benchmark EUV resists in close cooperation with resist suppliers. Here we summarize results from the SEMATECH EUV resist benchmarking project including process windows, exposure latitude, and depth of focus, photospeed, LWR, and ultimate resolution. Results show that EUV resists meet resolution and outgassing requirements for the 32nm half-pitch node. LWR and photospeed, however, remain a concern especially for contact-hole printing. Moreover, progress towards the 22nm half-pitch node has also been demonstrated in terms of resolvability.


Proceedings of SPIE | 2012

Alternative smoothing techniques to mitigate EUV substrate defectivity

Ranganath Teki; A. John Kadaksham; Matthew House; Jenah Harris-Jones; Andy Ma; S. V. Babu; A. Hariprasad; Paul Dumas; Richard Jenkins; J. Provine; Annika Richmann; J. Stowers; S. Meyers; U. Dietze; T. Kusumoto; Takashi Yatsui; Motoichi Ohtsu; Frank Goodwin

The majority of extreme ultraviolet (EUV) lithography mask blank defects originate from chemical mechanical polishing (CMP) of the substrate. The fact that CMP has not yet been able to yield EUV substrates with low defect counts highlights the challenges of polishing doped fused silica surfaces. Here we investigate alternative techniques based on processing either the substrate or coatings of amorphous silicon thin films and inorganic metal oxides. In particular, we evaluate a novel polymer-based non-abrasive a-Si CMP process, a photo-induced dry etching of substrate protrusions, a smoothing coat of spin-on or capillary coated Inpria metal oxide solution, CO2 laser polishing of the substrate surface, and annealing an a-Si thin film surface in reducing atmospheres. Although CMP still remains the best process with respect to overall process integration, these techniques have the potential to support CMP in solving the substrate defectivity issue and help pave the way to commercializing EUV mask blanks.


Proceedings of SPIE | 2007

Defect mitigation and reduction in EUVL mask blanks

Rajul Randive; Andy Ma; Ira Reiss; Paul Mirkarimi; Eberhard Spiller; Bernd Beier; Toshiyuki Uno; Patrick Kearney; Chan-Uk Jeon

Fabrication of nearly defect-free mask blanks is one of the most significant challenges facing the commercialization of extreme ultraviolet lithography (EUVL). Despite significant advances in our ability to clean substrates, the incoming substrate contributes more defects than the multilayer to the total number of defects on our lowest defect density mask blanks. This is because cleaning processes are ineffective against substrate pits, which dominate the substrate defect distribution. Fortunately, defect mitigation methods have been developed that use a coat-and-etch process to smooth substrate pit and particle defects. We have designed and installed a process module specifically for smoothing substrate pits and particles. This process module has several new features, such as the ability to isolate the etch source during the deposition steps, and should enable cleaner planarizations than those done before. Currently, the greatest challenge for us is to demonstrate that the smoothing process can be rendered clean enough for manufacturing. We will present results on the particles added during planarization and the composition of these particles, which is critical to identifying their origin and eliminating them.


24th Annual BACUS Symposium on Photomask Technology | 2004

Overcoming substrate defect decoration effects in EUVL mask blank development

Patrick Kearney; Rajul Randive; Andy Ma; David Krick; Al Weaver; Ira Reiss; Daniel L. Abraham; Paul B. Mirkarimi

Mask blanks for extreme ultraviolet lithography (EUVL) are fabricated by depositing Mo/Si multilayer films on 6” square super polished substrates. These mask blanks must be almost defect-free and development of a suitable multiplayer deposition tool and process is crucial for the commercialization of EUVL. We will show that using current, real-world quartz substrates and our state-of-the-art defect inspection tool, that substrate defect decoration is an obstacle; this means that there appear to be many non-detectable substrate defects that become detectable once a reflective coating is deposited. This makes it very challenging to conduct accurate defect root cause analysis experiments. We have overcome this obstacle: it entails characterizing an already coated substrate for defects, which provides a suitable reference from which to measure the defects in the multilayer coating that is subsequently applied. We will demonstrate that this is a viable technique and that it enables a suitable defect baseline to be obtained; this is crucial to performing accurate root cause analysis experiments for potential defect sources/mechanisms.


23rd Annual BACUS Symposium on Photomask Technology | 2003

Current status of next-generation EUVL mask blank tool development

Andy Ma; Kevin Kemp; Rajul Randive; Al Weaver; Mark Roberti; Alan V. Hayes; Daniel L. Abraham; Paul B. Mirkarimi; Patrick A. Kearney

Mask blanks for extreme ultraviolet lithography (EUVL) are fabricated by depositing Mo/Si multilayer films on super polished substrates. These mask blanks must be nearly defect-free, and therefore particles occurring during the deposition process are a serious concern. Development of the next-generation ultra low defect deposition tool for fabricating EUVL mask blanks is crucial for the commercialization of the EUVL technology. ISMT initiated a project at the ISMT-N (Albany, NY) facility to provide an ion beam sputter deposition tool for multilayer deposition on 6” square format substrates to support the development and production of EUV mask blanks. The project has access to state-of-the-art metrology tools recently installed at the Albany facility and also has process development support from Lawrence Livermore National Laboratory (LLNL) and Veeco. The project goal is to work with suppliers, LLNL, Veeco, to baseline, perform defect and root cause analysis, and improve the current tool with an upgrade path to meet the final specification for EUV mask blanks. We will provide results on the quality of the mask blanks produced during the benchmarking phase of this tool; data will be presented for the EUV reflectivity, reflectance uniformity, centroid wavelength, and uniformity.


Proceedings of SPIE | 2012

Ion beam deposition system for depositing low defect density extreme ultraviolet mask blanks

Vibhu Jindal; Patrick Kearney; Jaewoong Sohn; Jenah Harris-Jones; Arun John; Milton Godwin; A. Antohe; Ranganath Teki; Andy Ma; Frank Goodwin; Al Weaver; P. Teora

Extreme ultraviolet lithography (EUVL) is the leading next-generation lithography (NGL) technology to succeed optical lithography at the 22 nm node and beyond. EUVL requires a low defect density reflective mask blank, which is considered to be one of the top two critical technology gaps for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction in EUV mask blanks is being pursued using the Veeco Nexus deposition tool. The defect performance of this tool is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH identified the key components in the ion beam deposition system that is currently impeding the reduction of defect density and the yield of EUV mask blanks. SEMATECHs current research is focused on in-house tool components to reduce their contributions to mask blank defects. SEMATECH is also working closely with the supplier to incorporate this learning into a next-generation deposition tool. This paper will describe requirements for the next-generation tool that are essential to realize low defect density EUV mask blanks. The goal of our work is to enable model-based predictions of defect performance and defect improvement for targeted process improvement and component learning to feed into the new deposition tool design. This paper will also highlight the defect reduction resulting from process improvements and the restrictions inherent in the current tool geometry and components that are an impediment to meeting HVM quality EUV mask blanks will be outlined.


Photomask and Next-Generation Lithography Mask Technology XII | 2005

Recent progress in the fabrication of low defect density mask blanks

Andy Ma; Patrick Kearney; Dave Krick; Rajul Randive; Ira Reiss; Paul Mirkarimi; Eberhard Spiller

Extreme ultraviolet lithography (EUVL) is the leading next generation lithography (NGL) technology to succeed optical lithography at the 32 nm nodes and beyond. The technology uses a multilayer-based reflective optical system and the development of suitable, defect-free mask blanks is one of the two greatest challenges facing the commercialization of EUVL. In this paper we describe recent progress towards the development of a commercial tool and process for the production of EUVL mask blanks. Using the resources at the recently formed Mask Blank Development Center at SEMATECH-North we have been able to decrease the mean multilayer-coating-added defect density on 6” square quartz substrates by almost an order of magnitude, from ~0.5 defects/cm2 to ~0.055 defects/cm2 for particles ≥ 80 nm in size (PSL equivalent). We have also obtained a “champion” mask blank with an added defect density of only ~0.005 defects/cm2. This advance was due primarily to a compositional analysis of the particles using FIB/EDX followed by tool and procedural upgrades based on best engineering practices and judgment. Another important specification for masks blanks is the coating uniformity and we have simultaneously achieved a centroid wavelength uniformity of 0.4% and a coating-added defect density of 0.06 def/cm2.

Collaboration


Dive into the Andy Ma's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Paul B. Mirkarimi

Lawrence Livermore National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge