Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Chia-Jen Chen is active.

Publication


Featured researches published by Chia-Jen Chen.


Journal of Micro-nanolithography Mems and Moems | 2004

Global critical dimension uniformity improvement for mask fabrication with negative-tone chemically amplified resists by zone-controlled postexposure bake

Lothar Berger; Peter Dress; Thomas Gairing; Chia-Jen Chen; Ren-Guey Hsieh; Hsin-Chang Lee; Hung-Chang Hsieh

The multizone hotplate approach of the APB5500 bake system achieves temperature uniformity significantly superior to conventional bake tools, resulting in unmatched global critical dimension (CD) uniformity from the postexposure bake (PEB) process. Progress toward 65-nm next-generation lithography, however, requires the application of negative-tone chemically amplified resists (nCARs) like NEB22. This nCAR is characterized to show a strong sensitivity to postexposure delay (PED) in vacuum during electron-beam writing of 0.5 nm/h, and also a strong PEB sensitivity of 7.8 nm/°C, both resulting in systematic CD errors. These CD errors are compensated with the APB5500 bake system during PEB by automatically applying an appropriate nonuniform temperature profile. This temperature profile is calculated by an algorithm considering the resist and mask heat transfer properties. A CD uniformity improvement from 8.9 to 6.7 nm total range (25%) on a state of the art production mask is achieved.


2004 Semiconductor Manufacturing Technology Workshop Proceedings (IEEE Cat. No.04EX846) | 2004

Global CD uniformity improvement using dose modulation pattern correction of pattern density-dependent and position-dependent errors

Chia-Jen Chen; Hsin-Chang Lee; Lee-Chih Yeh; Kai-chung Liu; Ta-Cheng Lien; Yi-Chun Chuo; Hung-Chang Hsieh; Burn Jeng Lin

The specification of mask global CD uniformity (GCDU) is ever tightening. There is no exception at the 65-nm node. Some of the key contributors affecting GCD non-uniformity is pattern-density effects such as fogging effect from the e-beam writer and macro loading effect from the etcher. In addition, the contributions from position-dependent effects are significant, and these contributions included resist developing, baking, as well as aberrations of the wafer-imaging lens. It is challenging to quantify these effects and even more so to correct them to improve the GCDU. Correction of the fogging and etch loading effects had been reported by various authors. In addition to correction for these effects, we are reporting the position-dependent effects in this paper. Currently, the fogging effect induces 5 nm of CD error and an additional 5~15 nm of CD errors is induced by the etch-loading effect within a 60-mm radius area. We improved the GCDU by pattern-dependent corrections. Using position-dependent dose correction in mask writing, we managed to effectively compensate for intra-field non-uniformity on wafer, which is induced by lens aberrations and illumination non-uniformity.


2004 Semiconductor Manufacturing Technology Workshop Proceedings (IEEE Cat. No.04EX846) | 2004

Global CD uniformity improvement for CAR masks by adaptive post-exposure bake with CD measurement feedback

Hsin-Chang Lee; Chia-Jen Chen; Hung-Chang Hsieh; Lothar Berger; W. Saule; Peter Dress; Thomas Gairing

Progress towards 65nm next-generation lithography requires unprecedented global CD uniformity, with the actual ITRS 2002 roadmap proposing 4.2nm 3σ (dense lines) for 65nm binary masks. Since resolution requirements are satisfied only by using chemically amplified resists (CARs), exposure and post-exposure bake (PEB) are key processes to successful mask making, both introducing global CD errors. Develop and etch processes potentially contribute further global CD errors. The global CD uniformity can be improved significantly by adaptive PEB, especially for CARs showing moderate to strong PEB sensitivity, like NEB22. With the 25-zone hotplate of the APB5500 bake system, facilitated through a novel calibration mask with 25 equidistant temperature sensors within the resist plane, an appropriate temperature profile can be applied during PEB. This temperature profile is automatically calculated by an adaptive optimization algorithm, based on 2-dimensional spline fitting of a CD measurement. A CD-uniformity improvement (dense lines) from 3.80nm 3σ to 3.06nm 3σ (~20%) is achieved on evaluation photomasks with an 11x11 CD measurement grid.


Photomask Technology 2015 | 2015

Quantitative analysis of CD degradation induced by the fogging effect in e-beam lithography

Shao-Wen Chang; Tzu-Yi Wang; Ta-Cheng Lien; Chia-Jen Chen; Chih-Cheng Lin; Sin-Chang Lee; Anthony Yen

In this paper, a quantitative method to analyze the effective range of fogging effect from massive data is presented. According to the calculated effective range, we use two approaches to correct the pattern-dependent CD error that come from e-beam writing. One is the fogging effect correction(FEC), which uses a Gaussian distributed model to describe FE. Second, we implement dosage modulation based on the assumption that the error caused by FE is linearly proportional to the pattern density of a mask. In summary, we are able to successfully predict the map of CD error for various layouts, and correct the error caused by FE in mask-making.


Photomask Japan 2016: XXIII Symposium on Photomask and Next-Generation Lithography Mask Technology | 2016

Investigation and modeling of CPL mask profiles using OCD

Hsuan-Chen Chen; Ren-Hao Lin; Chien-Cheng Chen; Cheng-Hsuan Huang; Ta-Cheng Lien; Chia-Jen Chen; Gaston Lee; Hsin-Chang Lee; Anthony Yen

Mask profile of chromeless phase-shifting lithography (CPL) defined by OCD has been investigated. In CPL masks, unbalanced bombardments caused by different ion accelerations lead to the formation of micro-notch structures. A better understanding of micro-notch structures is essential for quality gating of mask processes to improve of CPL mask profiles. By measuring 12 of 16 elements of Mueller matrix, we are able to set up a model to simulate the depth of micro-notch structure profile which shows good correlation with TEM images. Moreover, values of CD, quartz etching depth and side wall angle acquired by OCD are presented and compared with those obtained by SEM, TEM and AFM, respectively.


Journal of Micro-nanolithography Mems and Moems | 2016

Characterization of charging-induced pattern positioning errors in advanced mask making

Chien-Cheng Chen; Yen-Cheng Ho; Shao-Wen Chang; Chia-Jen Chen; Ta-Cheng Lien; Chih-Cheng Lin; Hsin-Chang Lee; Anthony Yen

Abstract. Charging-induced pattern positioning errors (CIPPEs) from a 50-kV variable-shape e-beam writer on an opaque-MoSi-over-glass mask has been carefully characterized by directly measuring the pattern shifts using a high-accuracy mask registration tool. In addition, the reported behaviors associated with the CIPPEs, exponentially decaying in space and sign flipping with increasing pattern density (PD), another seldom-mentioned error component, behaving like a constant offset in space and becoming stronger with increasing PD, is found. The authors repeat the experiment with a charge dissipation layer coated atop the resist to experimentally explore the origins of these two phenomena and find that the exponential components, removable by the charge dissipating layer (CDL), result from the well-known resist charging effect but the constant offset, remain existing with the CDL, does not. From the result of Monte Carlo simulations, the constant component is speculated to result from blank charging. This finding can give important insights into the model-based charging effect correction as well as the effectiveness of the CDL.


Photomask Technology 2015 | 2015

Exploring the origin of charging-induced pattern positioning errors in mask making using e-beam lithography

Chien-Cheng Chen; Tzu-Ling Liu; Shao-Wen Chang; Yen-Cheng Ho; Chia-Jen Chen; Chih-Cheng Lin; Ta-Cheng Lien; Hsin-Chang Lee; Anthony Yen

The authors present a detailed observation of the charge-induced pattern positioning errors (CIPPEs) in a variableshape e-beam writer on an opaque-MoSi-over-glass (OMOG) mask by directly measuring the pattern shifts using a mask registration tool. The CIPPEs are found to have one short-range, that is exponentially decaying in space, and the other constant offset components. The exponential term that decays slowly in time, whereas the constant offset fast diminishes. By applying a charge dissipation layer (CDL), the authors experimentally verify that the exponential component results from the charges in resist. On the other hands, the constant offset that can not be eliminated by the CDL is speculated to be charges in the substrate according to the Monte Carlo simulation.


24th Annual BACUS Symposium on Photomask Technology | 2004

E-beam lithography experimental results and simulation for the 45-nm node

John Nistler; Chia-Jen Chen; Sergey Vychub; Hsin-Chang Lee; Lee-Chih Yeh; Hung-Chang Hsieh; Christoph Sambale; Ulrich Hofmann

E-Beam Lithography is still the driving technology for semiconductor manufacturing of critical levels at the 45nm node. Mask costs, yields and representation of the mask on wafer are important factors to consider. Mask-less E-beam lithography is being considered, but major manufacturing is still done by scanner technology. Therefore the same emphasis on modeling applied in the 1990s on the wafer is now being applied to mask technologies to drive down costs, improve yields and to develop viable mask to wafer transfer patterns. Yield is ultimately connected to process latitude, which is limited by a variety of electron-material interaction issues. As in the optical world, the question is how to maximize the process window considering all the systematic and statistical error sources. Simulation can be used to find out the magnitude of yield limiting effects, and to evaluate the contributing error sources such as PEC file contributions. Film stacks are now becoming an important contributor to statistical error due to technologies such as tri-tone attenuated masks that place a thin layer of chrome over MoSi. In this paper we will compare the SELID E-beam simulation to cross-sections of line-space and contact patterns. Demonstrations of simulation to real data and the use of simulation to further evaluate process window to enhance the learning mode during development cycles will be presented.


23rd Annual BACUS Symposium on Photomask Technology | 2003

Mask-making study for the 65-nm node

Chia-Jen Chen; Hsin-Chang Lee; Chi-Lun Lu; Ren-Guey Hsieh; Wen-Chi Chen; Hung-Chang Hsieh; Burn-Jeng Lin

The specifications of mask critical dimension (CD) have become much tighter for sub-100nm nodes to satisfy wafer CD uniformity requirements. The small patterns produced by aggressive optical proximity correction compound the difficulty, thereby necessitating the use of e-beam writers in combination with chemical amplified resists (CARs). Challenges of resists include post coating delay (PCD), post exposure delay (PED) in vacuum, and strong post exposure bake (PEB) sensitivity. CD errors are classified into localized area and global ones; machines causing each type of errors are then identified. Focus variation and fogging effect have to be emphasized for the 65-nm requirements. Although the DOF of e-beam systems is larger than that of the optical systems, high current density and/or plate-to-plate deviation may cause focus variation to result in poor CD uniformity. Therefore, dosage optimization is necessary for getting the best focus. The fogging effective level is around 3~10 nm at various pattern loadings. The paper presents, quantitative results and the methodology leading to them.


Archive | 2012

Reflective mask and method of making same

Pei-Cheng Hsu; Chih-Tsung Shih; Chia-Jen Chen; Tsiao-Chen Wu; Shinn-Sheng Yu; Hsin-Chang Lee; Anthony Yen

Researchain Logo
Decentralizing Knowledge