Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Shinn-Sheng Yu is active.

Publication


Featured researches published by Shinn-Sheng Yu.


Advances in resist technology and processing. Conference | 2005

Double exposure for the contact layer of the 65-nm node

Dah-Chung Owe-Yang; Shinn-Sheng Yu; Harrison Chen; Chung-Hsing Chang; Bang-Chein Ho; John Lin; Burn Jeng Lin

The critical dimension (CD) of contact holes for the 65-nm application specific integrated circuit (ASIC) is 100 nm according to the 2002 update of the International Technology Roadmap for Semiconductors. The common through-pitch depth of focus (DOF) of such contact holes is very small using the current ArF exposure tool. High-numerical-aperture (NA) ArF exposure tools are not expected to improve the common DOF that scales by the square of the numerical half aperture. High-transmission attenuated phase-shifting masks increase the DOF of isolated contact holes. Off-axis illumination such as annular or quadrupole illumination improves the DOF of dense contact holes. Nonetheless, both the isolated and the dense contact holes need to be printed within spec on logic circuit. To delineate 100-nm contact holes at several different pitches, we proposed the pack-and-unpack (PAU) process which employs double exposures. First, dummy holes are added to the surroundings of isolated contact holes facilitating the patterning of the resultant dense pattern with a resolution enhancement technique that favors dense contact holes. For example, dense holes are packed to 180-nm pitch and imaged with high-NA lens setting and quadrupole illumination. Then, the second image is used to open the desired holes or block the dummy contact holes. The purpose of this study was to develop new methods and new materials for the patterning of the second image. Three approaches were investigated. The first approach was forming an isolation layer to protect the first image; second, applying UV curing to harden the first image; third, using alcohol-based resists to pattern the second image. Among those three approaches of printing the second image, using resist in alcohols is the most convenient method. Even though the CD control of the second image is not so critical, resolution and process window of resists may need further improvement for 45-nm node and below. Using the second approach allows conventional ArF resists, which does not raise as many concerns as the alcohol-based resists. With the first approach, a lot more work is needed to prevent intermixing and reactions between the isolation layer and the resist for the second image. The results of this work point to the directions for material developments of the PAU process. Both the alcohol-based resists and UV curing are good approaches for PAU. Further characterizations such as DOF, exposure latitude (EL), and mask error factor (MEF) on them will be carried out in the near future.


Journal of Micro-nanolithography Mems and Moems | 2005

Thin-film optimization strategy in high numerical aperture optical lithography, part 1: principles

Shinn-Sheng Yu; Burn Jeng Lin; Anthony Yen; Chih-Ming Ke; Jacky Huang; Bang-Ching Ho; Chun-Kuang Chen; Tsai-Sheng Gau; Hong-Chang Hsieh; Yao-Ching Ku

The functional dependence of a resist critical dimension (CD) with respect to resist thickness for a general absorptive thin-film stack in the case of oblique incidence is derived analytically with the rigorous electromagnetic theory. Based on obtained results, we discuss those thin-film effects related to CD control, such as the swing effect, bulk effect, etc., especially in the regime of high numerical aperture optical lithography.


Journal of Vacuum Science & Technology B | 2001

Low-k1 optical lithography for 100 nm logic technology and beyond

Anthony Yen; Shinn-Sheng Yu; Jeng-Horng Chen; Chun-Kuang Chen; Tsai-Sheng Gau; Burn Jeng Lin

In this article, we present 193 nm lithography at a k1 factor of 0.37–0.40 and discuss several topics important to 100 nm logic such as optical proximity correction (OPC), control of critical dimension (CD) variation, and lowering of the mask error factor (MEF). In OPC, the best correction results can be achieved by developing accurate models and using reasonable segmentation rules. The technique of variable-dose exposures is demonstrated as a means to reduce interfield CD variation once the cause is known and can be characterized. A more than 30% reduction in CD variation is realized for variation caused by temperature nonuniformity in hot plates. The concept of two dimensional (2D) MEF is introduced to describe situations at feature ends. Higher numerical aperture or more effectively, quadrupole illumination, can be used to lower 1D and 2D MEFs. We also explore the technique of dipole illumination, which may be a candidate for taking optical lithography to k1<0.35.


Journal of Micro-nanolithography Mems and Moems | 2005

Thin-film optimization strategy in high numerical aperture optical lithography, part 2: applications to ArF

Shinn-Sheng Yu; Burn Jeng Lin; Anthony Yen

The methodology of the optimization of a thin-film stack for high-NA optical lithography is different from that of the conventional low-NA case, since there are many new factors that need to be considered simultaneously. These include polarization dependence of the reflection coefficient, impact from variation of the angle of incidence, low energy coupling efficiency at the air/resist interface for TE waves, and critical dimension (CD) variation resulting from the bulk effect. In this work, we show that in the extreme high-NA regime, it is hardly possible with existing materials to realize a perfect top antireflection coating that satisfies simultaneous requirements of minimizing the swing effect as well as maximizing throughput and image contrast. Fortunately, for immersion lithography, it is very probable to realize such a top antireflection coating, even when NA is close to the physical limit. We also show that the impact from variation of the angle of incidence can be overcome by implementing top/bottom antireflection coating optimized at low/high angles of incidence, or vice versa. We finally show that CD variation resulting from the bulk effect can be compensated in half a swing period by fine tuning the swing effect.


Proceedings of SPIE | 2007

OCD metrology by floating n/k

Shinn-Sheng Yu; Jacky Huang; Chih-Ming Ke; Tsai-Sheng Gau; Burn Jeng Lin; Anthony Yen; Lawrence Lane; Vuong Vi; Yan Chen

In this paper, one of the major contributions to the OCD metrology error, resulting from within-wafer variation of the refractive index/extinction coefficient (n/k) of the substrate, is identified and quantified. To meet the required metrology accuracy for the 65-nm node and beyond, it is suggested that n/k should be floating when performing the regression for OCD modeling. A feasible way of performing such regression is proposed and verified. As shown in the presented example, the measured CDU (3σ) with n/k fixed and n/k floating is 1.94 nm and 1.42 nm, respectively. That is, the metrology error of CDU committed by assuming n/k fixed is more than 35% of the total CDU.


Proceedings of SPIE | 2011

Printability of buried mask defects in extreme UV lithography

Pei-Cheng Hsu; Ming-Jiun Yao; Wen-Chang Hsueh; Chia-Jen Chen; Shin-Chang Lee; Ching-Fang Yu; Luke Hsu; Sheng-Ji Chin; Jimmy Hu; Shu-Hao Chang; Chih-Tsung Shih; Yen-Cheng Lu; Timothy Wu; Shinn-Sheng Yu; Anthony Yen

A programmed-defect mask consisting of both bump- and pit-type defects on the LTEM mask substrate has been successfully fabricated. It is seen that pit-type defects are less printable because they are more smoothed out by the employed MLM deposition process. Specifically, all bump-type defects print even at the smallest height split of 1.7 nm whereas pit-type defects print only at the largest depth split of 5.7 nm. At this depth, the largest nonprintable 1D and 2D defect widths are about 23 nm and 64 nm, respectively.


Journal of Micro-nanolithography Mems and Moems | 2004

Mask error tensor and causality of mask error enhancement for low- k 1 imaging: theory and experiments

Chun-Kuang Chen; Tsai-Sheng Gau; Jaw-Jung Shin; Ru-Gun Liu; Shinn-Sheng Yu; Anthony Yen; Burn-Jeng Lin

Three important concepts about the mask error enhancement factor (MEEF) are proposed. From the definition of MEEF, it could be derived as a function of the image log slope and the aerial image variation caused by mask critical dimension (CD) errors. Second, a mask error common window indicator (MECWIN) is proposed to evaluate the MEEF and mask CD specification by knowing the wafer CD tolerance. This concept is used to define the mask CD specification without any ambiguity. Finally, we describe the complex 2-D response to the mask-making error around the line end by a mask error enhancement tensor. Both theoretical derivations and experiments to justify the theory are presented.


Proceedings of SPIE | 2015

An accurate method to determine the amount of out-of-band light in an EUV scanner

Shinn-Sheng Yu; Yen-Cheng Lu; Chih-Tsung Shih; Chia-Chun Chung; Shang-Chieh Chien; Shun-Der Wu; Norman Chen; Shu-Hao Chang; Hsiang-Yu Chou; Jui-Ching Wu; Tao-Ming Huang; Jack J. H. Chen; Anthony Yen

In this paper, we proposed a new design of the test mask to measure the amount of the out-of-band (OOB) light from an extreme-ultraviolet (EUV) light source by detuning the period of the multilayer (ML), rather than changing the material of the absorber, to suppress reflection of EUV light. The new OOB test mask also reflects essentially the same OOB light as that of the production mask at each wavelength in the whole OOB spectral range. With the help of the new OOB test mask, the contributions to the background intensity from in-band flare and OOB light can be correctly separated and an accurate optical-proximity-correction (OPC) model can be established.


Proceedings of SPIE | 2011

On the extensibility of extreme UV lithography

Shinn-Sheng Yu; Anthony Yen; Shu-Hao Chang; Chih-Tsung Shih; Yen-Cheng Lu; Jimmy Hu; Timothy Wu

In this paper, definition of line/space patterns at 44-, 32-, and 22-nm pitches using extreme-ultraviolet lithography (EUVL) is investigated by aerial image simulation. The results indicate that extending EUVL to the 22-nm pitch requires reducing the mask shadowing effect, which implies reducing the mask absorber thickness as well as maintaining the 6-degree angle of incidence on the mask, if the reduction ratio of the imaging system is to be kept at 4. Reduction of the mask absorber thickness can be realized by implementing attenuated phase-shifting masks. Otherwise, all critical patterns must be laid out in single orientation.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

90-nm lithography process characterization using ODP scatterometry technology

Chih-Ming Ke; Shinn-Sheng Yu; Yu-Hsi Wang; Yu-Jun Chou; Jeng-Horng Chen; Bih-Huey Lee; Hong-Yuan Chu; Hua-Tai Lin; Tsai-Sheng Gau; Chih-Hsiang Lin; Yao-Ching Ku; Burn Jeng Lin; Jacky Huang; J. J. Hsu; Victor Liu; Dave Hetzer; Lip Yap; Wenge Yang; Kaoru Araki

CD-SEM and scatterometry are two of the top candidates for CD metrology in 90 nm node. In this study, Optical Digital Profilometry (ODP) based scatterometry was used to evaluate four topics: CD SEM and ODP process resolution comparison, ODP duty ratio limitation study, Poly AEI undercut sensitivity, STI ODP to TEM profile and trench depth matching. The scatterometry results were compared to CD-SEM and TEM results to develop the correlation of different metrology techniques. Scatterometry is able to provide robust uniformity measurement with additional information compared to CD-SEM. The additional information included sidewall angle, photoresist thickness, A°RC layer thickness, and under-layer film thickness. Actual data showed that this extra information was essential to trouble shoot the CD uniformity issue, separate the scanner, track, and thin film deposition impact on final CD uniformity. Scatterometry can be used not only as a metrology tool to measure CD uniformity, but also a useful analytical tool to find out the cause of CD non-uniformity. In small FEM study, scatterometry demonstrated its high resolution and precision. It can clearly identify the CD shift of less than 0.5 nm with exposure energy shift of 0.1mJ. This high resolution enabled a clearer definition of process window, and monitoring of small process shift in the actual production. From the experimental results, current optical tool with ODP technology was well qualified for duty ratio > 30 iso line measurement, detecting Poly undercut, STI profile and depth TEM matching.

Researchain Logo
Decentralizing Knowledge