Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Chin Ngai Sze is active.

Publication


Featured researches published by Chin Ngai Sze.


IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 2007

Fast Algorithms for Slew-Constrained Minimum Cost Buffering

Shiyan Hu; Charles J. Alpert; Jiang Hu; Shrirang K. Karandikar; Zhuo Li; Weiping Shi; Chin Ngai Sze

As a prevalent constraint, sharp slew rate is often required in circuit design, which causes a huge demand for buffering resources. This problem requires ultrafast buffering techniques to handle large volume of nets while also minimizing buffering cost. This problem is intensively studied in this paper. First, a highly efficient algorithm based on dynamic programming is proposed to optimally solve slew buffering with discrete buffer locations. Second, a new algorithm using the maximum matching technique is developed to handle the difficult cases in which no assumption is made on buffer input slew. Third, an adaptive buffer selection approach is proposed to efficiently handle slew buffering with continuous buffer locations. Fourth, buffer blockage avoidance is handled, which makes the algorithms ready for practical use. Experiments on industrial netlists demonstrate that our algorithms are very effective and highly efficient: we achieve about 90x speedup and save up to 20% buffer area over the commonly used van Ginneken style buffering. The new algorithms also significantly outperform previous works that indirectly address the slew buffering problem.


international symposium on physical design | 2008

RUMBLE: an incremental, timing-driven, physical-synthesis optimization algorithm

David A. Papa; Tao Luo; Michael D. Moffitt; Chin Ngai Sze; Zhuo Li; Gi-Joon Nam; Charles J. Alpert; Igor L. Markov

Physical-synthesis tools are responsible for achieving timing closure. Starting with 130-nm designs, multiple cycles are required to cross the chip, making latch placement critical to success. We present a new physical-synthesis optimization for latch placement called Rip Up and Move Boxes with Linear Evaluation (RUMBLE) that uses a linear timing model to optimize timing by simultaneously replacing multiple gates. RUMBLE runs incrementally and in conjunction with static timing analysis to improve the timing for critical paths that have already been optimized by placement, gate sizing, and buffering. Experimental results validate the effectiveness of the approach: Our techniques improve slack by 41.3% of cycle time on average for a large commercial ASIC design.


IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 2008

RUMBLE: An Incremental Timing-Driven Physical-Synthesis Optimization Algorithm

David A. Papa; Tao Luo; Michael D. Moffitt; Chin Ngai Sze; Zhuo Li; Gi-Joon Nam; Charles J. Alpert; Igor L. Markov

Physical-synthesis tools are responsible for achieving timing closure. Starting with 130-nm designs, multiple cycles are required to cross the chip, making latch placement critical to success. We present a new physical-synthesis optimization for latch placement called Rip Up and Move Boxes with Linear Evaluation (RUMBLE) that uses a linear timing model to optimize timing by simultaneously replacing multiple gates. RUMBLE runs incrementally and in conjunction with static timing analysis to improve the timing for critical paths that have already been optimized by placement, gate sizing, and buffering. Experimental results validate the effectiveness of the approach: Our techniques improve slack by 41.3% of cycle time on average for a large commercial ASIC design.


international conference on computer aided design | 2012

Placement: hot or not?

Charles J. Alpert; Zhuo Li; Gi-Joon Nam; Chin Ngai Sze; Natarajan Viswanathan; Samuel I. Ward

Placement is considered a fundamental physical design problem in electronic design automation. It has been around so long that it is commonly viewed as a solved problem. However, placement is not just another design automation problem; placement quality is at the heart of design quality in terms of timing closure, routability, area, power and most importantly, time-to-market. Small improvements in placement quality often translate into large improvements further down the design closure stack. This paper makes the case that placement is a “hot topic” in design automation and presents several placement formulations related to routability, clocking, datapath, timing, and constraint management to drive years of research.


asia and south pacific design automation conference | 2011

Wire synthesizable global routing for timing closure

Michael D. Moffitt; Chin Ngai Sze

Despite remarkable progress in the area of global routing, the burdens imposed by modern physical synthesis flows are far greater than those expected or anticipated by available (academic) routing engines. As interconnects dominate the path delay, physical synthesis such as buffer insertion and gate sizing has to integrate with layer assignment. Layer directives — commonly generated during wire synthesis to meet tight frequency targets — play a critical role in reducing interconnect delay of smaller technology nodes. Unfortunately, they are not presently understood or honored by leading global routers, nor do existing techniques trivially extend toward their resolution. The shortcomings contribute to a dangerous blindspot in optimization and timing closure, leading to unroutable and/or underperforming designs. In this paper, we aim to resolve the layer compliance problem in routing congestion evaluation and global routing, which is very critical for timing closure with physical synthesis. We propose a method of progressive projection to account for wire tags and layer directives, in which classes of nets are successively applied and locked while performing partial aggregation. The method effectively models the resource contention of layer constraints by faithfully accumulating capacity of bounded layer ranges, enabling three-dimensional assignment to subsequently achieve complete directive compliance. The approach is general, and can piggyback on existing interfaces used to communicate with popular academic engines. Empirical results on the IC-CAD 2009 benchmarks demonstrate that our approach successfully routes many designs that are otherwise unroutable with existing techniques and na¨ıve approaches.


international conference on computer aided design | 2008

Pyramids: an efficient computational geometry-based approach for timing-driven placement

Tao Luo; David A. Papa; Zhuo Li; Chin Ngai Sze; Charles J. Alpert; David Z. Pan

The purpose of global placement is to find non-overlapping locations for cells, typically while minimizing a wirelength objective. Because of this objective, however, when more timing information about the design is known, some cells will inevitably be sub-optimally placed from a timing perspective. In this paper, we present two new techniques to incrementally improve placements by moving cells to their optimal timing locations. We call our approach Pyramids, since it uses pyramid-shaped delay surfaces to solve for the optimal location, rather than running a more expensive linear programming solver. We show how to apply these techniques to timing-driven detailed placement and also for more accurate late-stage incremental timing correction. Experimental results validate the effectiveness of Pyramids by showing significantly improved timing after an industrial placement algorithm. Furthermore, compared to the linear programming solvers, the speedup of Pyramids solver is 373times vs. CLP and 448times vs. GLPK.


IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 2007

Path-Based Buffer Insertion

Chin Ngai Sze; Charles J. Alpert; Jiang Hu; Weiping Shi

Along with the progress of very-large-scale-integration technology, buffer insertion plays an increasingly critical role on affecting circuit design and performance. Traditional buffer insertion algorithms are mostly net based and therefore often result in suboptimal delay or unnecessary buffer expense due to the lack of global view. In this paper, we propose a novel path-based-buffer-insertion (PBBI) scheme which can overcome the weakness of the net-based approaches. We also discuss some potential difficulties of the PBBI approach and propose solutions to them. A fast estimation on buffered delay is employed to improve the solution quality. Gate sizing is also considered at the same time. Experimental results show that our method can efficiently reduce buffer/gate cost significantly (by 71% on average) when compared to traditional net-based approaches. To the best of our knowledge, this is the first work on path based buffer insertion and simultaneous gate sizing.


Archive | 2010

SPECIFYING CIRCUIT LEVEL CONNECTIVITY DURING CIRCUIT DESIGN SYNTHESIS

Michael D. Amundson; Dorothy Kucar; Ruchir Puri; Chin Ngai Sze; Matthew M. Ziegler


Archive | 2006

Latch placement for high performance and low power circuits

Charles J. Alpert; Shyam Ramji; Chin Ngai Sze; Paul G. Villarrubia


Archive | 2010

CONVERGED LARGE BLOCK AND STRUCTURED SYNTHESIS FOR HIGH PERFORMANCE MICROPROCESSOR DESIGNS

Minsik Cho; Victor N. Kravets; Smita Krishnaswamy; Dorothy Kucar; Jagannathan Narasimhan; Ruchir Puri; Haifeng Qian; Haoxing Ren; Chin Ngai Sze; Louise H. Trevillyan; Hua Xiang; Matthew M. Ziegler

Researchain Logo
Decentralizing Knowledge