Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Chris A. Mack is active.

Publication


Featured researches published by Chris A. Mack.


18th Annual BACUS Symposium on Photomask Technology and Management | 1998

Design and analysis of manufacturable alternating phase-shifting masks

Ronald L. Gordon; Chris A. Mack; John S. Petersen

The advent of mask topography simulation has made possible not only the investigation of the effects of scattering from the mask on the aerial image quality, but also allows a search for mask configurations that produce the desired results. In this work, we first provide studies of the effective phase in a phase-shifting mask by varying both the relative subtractive etch depth in the quartz (corresponding to the Kirchhoff phase difference) and the etch bias (dual trench depth). Ultimately, the sensitivity of the resist linewidth with respect to the effective phase error takes on a critical importance in production, and one may desire to know how to alter a given mask in order to eliminate undesired effects from such errors. A design methodology that takes these issues into account employing a mask topography simulator and a lithography simulator is the end result of this study and is illustrated using an example taken from sub-130 nm lithography.


Emerging lithographic technologies. Conference | 1999

Mask Topography Simulation for EUV Lithography

Ronald L. Gordon; Chris A. Mack

This paper introduces a methodology for rigorous computation of electric fields in the neighborhood of reflective masks employed in EUV lithography. Specifically, the media used in this application place stringent requirements on the spatial and temporal grids in order to achieve a stable and accurate Finite-Difference, Time-Domain calculation. An analytical expression for the electric field reflectivity off the multilayer is harnessed to define effective media that can decrease run times by as much as an order of magnitude. This framework is then used to analyze the effect of the absorber thickness on image linewidth and quality.


Advances in Resist Technology and Processing XII | 1995

Nonconstant diffusion coefficients: short description of modeling and comparison to experimental results

John S. Petersen; Chris A. Mack; John L. Sturtevant; Jeff D. Byers; Daniel Miller

Chemical changes within a resist material (for example, resulting from the exposure and subsequent chemical reactions during post exposure bake) will in general, result in a change in diffusivity of components within that material. In the case of positive chemically amplified resists, the diffusivity of the photo-generated acid changes as a function of the extent of polymer deprotection. The deprotection reaction leads to the generation of small reaction product molecules, some of which are volatile. The liberation of these reaction products causes an increase in the free volume and changes in the chemical behavior in the exposed area. These changes, primarily the increase in free volume, results in an increase in the diffusivity of the acid. Low exposure areas have lower acid diffusivity, leading to a lower efficiency of reaction. This results in a contrast enhancement of the latent image due to the concentration dependent diffusivity of the acid. In this paper, a concentration dependent diffusivity expression is incorporated into a lithography simulator to explore these effects on lithographic performance. Using the assumption of free volume, suitable expressions for the diffusivity are examined and compared to experimentally measured values. The experimental work consists of XP-9402 positive acting, chemically amplified resist that was imaged using different thermal doses.


Advances in Resist Technology and Processing XII | 1995

Characterization and modeling of a positive-acting chemically amplified resist

John S. Petersen; Chris A. Mack; James W. Thackeray; Roger F. Sinta; Theodore H. Fedynyshyn; J. Michael Mori; Jeff D. Byers; Daniel Miller

Improvements in modeling of chemically amplified resists are necessary to increase the capability of doing `What if simulations and to help interpret experimental data. One method to minimize the difference between modeled and experimental results is to use an underlying database of experimentally determined bulk dissolution rates as the source of the input parameters for the imaging engine of the lithographic model. In this paper, a R(E,z) to R(m,z) converter is discussed. The converter takes into account the amplification factor, kinetic effects and acid loss. The underlying data consist of a positive acting chemically amplified resist, XP-9402, that was processed using various post exposure bake conditions. With conversion to R(m,z), the energy of activation and Arrhenius coefficient for both the deprotection reaction and acid loss, the rate of photoacid formation, C, the chemical amplification factor for a given thermal dose and the ratio of deprotection rate constant to acid loss rate constant can be determined. These parameters are then used in the lithographic simulator PROLITH/2 version 4.1a. Results are used to understand lithographic results for photoresist that had been processed at different temperatures.


18th Annual BACUS Symposium on Photomask Technology and Management | 1998

Assessment of a hypothetical road map that extends optical lithography through the 70-nm technology node

John S. Petersen; Martin McCallum; Nishrin Kachwala; Robert John Socha; J. Fung Chen; Thomas Laidig; Bruce W. Smith; Ronald L. Gordon; Chris A. Mack

This work discusses routes to extend optical lithography to the 70 nm technology node using proper selection of masks, mask design including choice of optical proximity correction (OPC), exposure tool, illuminator design, and resist design to do imaging process integration. The goal of this integration is to make each component of the imaging system work to the best benefit of the other imaging components so as to produce focus-exposure process windows large enough to use in a manufacturing environment. In order to maximize return on investment, the design of the photoresist and the exposure tool is used to simplify reticle design as much as possible. For masks, the choices of binary, alternating or attenuated phase-shift masks (PSM) are discussed. Alternating PSM produces the best image quality but the effective phase angle depends on NA, wavelength, sigma, magnification, pitch and duty cycle. Attenuated PSM has maximum image quality when using transmissions of 18% for contact holes and 30% to 40% for lines and spaces. Using high transmission masks increases working resolution of a wide range of feature sizes and shapes, but requires suppression of unwanted light. This suppression requires using ternary attenuated PSM and in many instances necessitates critical formation of a second layer on the mask that has both the proper size and placement of the second level features. For OPC, the use of scattering bar, sub-resolution assist features to make isolated lines mimic dense exposure-focus response is discussed. For illuminators, properly tuned weak off-axis illumination is used with binary and attenuated PSM to flatten image CD while maintaining image quality at an acceptable level for the resist. For resists, the need to balance resist bias and side-lobe printing is discussed. A work-in-progress integration experiment is reviewed for 525 nm and 1050 nm pitches with 175 nm targeted line features imaged with a 0.53 NA, 248 nm stepper that has been modified with weak and strong off-axis illuminators and a binary reticle. Results show weak illumination produces a common process corridor for the two pitches that will need enhancement using OPC, but that the individual windows have acceptable imaging capability. Predictions of production resolution that are inferred by our simulation and experimental results are made and recommendations are given to make these predictions a reality. Based on our work we believe that, expect for dense contact holes, 248 nm has the potential to be used through the 130 nm technology node and 193 nm can be used through the 100 nm node and the beginning of the 70 nm technology node. Dense contact holes will require a next generation lithography technology.


23rd Annual International Symposium on Microlithography | 1998

Lithography simulation employing rigorous solutions to Maxwell's equations

Ronald L. Gordon; Chris A. Mack

A method of obtaining rigorous solutions to Maxwells equations for the transmission of light through a photomask, both chrome-based and phase-shifting, is presented. The electromagnetic simulator will predict the transmission of light through the mask taking into account material properties, width, and thickness of the structures on the mask. This electromagnetic simulation will then be incorporated into the software package PROLITH/2 for complete simulation down to the resist level. Examples of lithography simulation using these rigorous solutions will be presented.


Optical Microlithography XXXI | 2018

Roughness power spectral density as a function of resist parameters and its impact through process

Charlotte Cutler; James W. Thackeray; Jason DeSisto; Choong-Bong Lee; Mingqi Li; Emad Aqad; Xisen Hou; Tomas Marangoni; Joshua Kaitz; Rochelle Rena; Chris A. Mack; John Nelson

Linewidth roughness (LWR) remains a difficult challenge for improvement in all resist materials. In this paper, we intend to focus on the impact of key components of LWR by analyzing the Power Spectral Density (PSD) curves which can be obtained using Fractilia’s MetroLER computational software. We will study systematic changes to ArF resist formulations and correlate these changes to the overall PSD curves. In this manner, we can extract LER/LWR 3σ values as well as resist correlation length and the low/high-frequency roughness components. We will also investigate the relationship between PSD and LWR through lithographic/etch processing and demonstrate which components correspond with the largest impact. In order to achieve quality data over low and high frequency ranges we changed our standard metrology setup to capture longer lines. By making systematic changes to the ArF resists, we can determine the key impacts of various controllable resist factors on the PSD. Through systematic analysis, we can deconvolute LWR improvements both after develop and after an etch process.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

FT-IR method to determine Dill's C parameter for DNQ/novolac resists with e-beam and i-line exposure

Theodore H. Fedynyshyn; Scott P. Doran; Chris A. Mack

Typically, the Dill ABC parameters for optical resist are determined by coating a resist on a nonreflecting substrate and then measuring the change in the intensity of transmitted light at the wavelength of interest as a function of incident energy. Resists absorbance may not be selective in isolating and measuring only the photoactive species, and in some cases changes in absorbance can not be directly correlated to changes in the concentration of the photoactive species. FTIR spectroscopy can directly measure changes in the photoactive species by isolating and measuring absorbance peaks unique to the photoactive species by isolating and measuring absorbance peaks unique to the photoactive species. FTIR, employed in reflectance mode, is not constrained to transparent substrates, but can instead be used with silicon wafers or chrome coated quartz plates. The ability to use these substrates, but can instead be used with silicon wafers or chrome coated quartz plates. The ability to use these substrates is important when determining Dills C parameter under e-beam exposure where the degree of back-scattered electrons is dependent on the underlying substrate, and the use of quartz is prohibited due to charging considerations. Dills C parameter is determined for a variety of commercial i-line resist under both e-beam and i-line exposure. The ProABC software, a lithography simulator that extracts ABC parameters through a best fit of model to data, is employed to extract Dills C parameter. This software has been specially modified to allow FTIR absorbance input.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Effect of developer temperature and normality on chemically amplified photoresist dissolution

Mark John Maslow; Chris A. Mack; Jeff D. Byers

The effects of developer temperature and developer normality on the dissolution behavior of a 248nm chemically amplified resist are examined using development rate measurements. Using a RDA-790 development rate measurement tool employing a 470nm Blue LED measurement wavelength, dissolution rats as a function of dose and depth into the resist were measured. Each data set was analyzed and the performance of rate versus t-BOC concentration was fit to appropriate models. The variation of these results with developer temperature has led to further temperature-dependent characterization of the dissolution modeling parameters. The variation of dissolution rate with developer normality has led to an initial characterization of the normality-dependent dissolution modeling parameters. The maximum dissolution rate Rmax is shown to exhibit two regions of Arrhenius behavior with a well defined activation energy for both. The dissolution selectivity parameter n proves to have a more complicated behavior.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Considerations for the use of application-specific photoresists

John L. Sturtevant; Benjamin C. P. Ho; Kevin D. Lucas; John S. Petersen; Chris A. Mack; Edward W. Charrier; William C. Peterson; Nobu Koshiba; Gregg A. Barnes

In recent years, photoresists suppliers have migrated to offering a full palette of resist chemistries and processes which are specifically tailored for particular pattern types and/or exposure processes. Thus we now see designations such as contact resists, isolated line resists, dense line resists, attenuated phase shift resist, etc. This specialization offers the lithographer more choices for continual performance improvement and optimization, but implementation of multiple resist platforms in manufacturing can be problematic. In this paper, we examine the design criteria and efficacy of pattern- and application-specific photoresist versus a generic multi-purpose material, and identify some of the trade-offs which can be expected when employing these resists. Generalized ideal resist behaviors are presented for different pattern criteria, including proximity bias. Both experimental and simulation results are given.

Collaboration


Dive into the Chris A. Mack's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge