Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Christof Matthias Schilz is active.

Publication


Featured researches published by Christof Matthias Schilz.


Photomask and next-generation lithography mask technology. Conference | 2003

Actinic aerial image measurement tool for 157-nm mask qualification

Takashi Yasui; Iwao Higashikawa; Peter Kuschnerus; Thomas Engel; Axel Zibold; Claudia Hertfelder; Yuji Kobiyama; Jan-Peter Urbach; Christof Matthias Schilz; Armin Semmler

The challenge to achieve an early introduction of 157 nm lithography requires various advanced metrology systems to evaluate the 65 nm node lithography performances, equipments and processes. Carl Zeiss AIMS tool based on the Aerial Image Measurement Software is the most promising approach to evaluate the mask quality in terms of aerial image properties, in order to assess post repair quality. Selete has joint activities with Carl Zeiss, International SEMATECH and Infineon to accelerate the development of an AIMS tool operating at the 157 nm wavelength. The alpha tool phase of the project has been completed, and beta tools are currently being built. This paper is discussing the results from measurements on the alpha tool of some 157 nm attenuated phase shift masks (Att-PSM). Resolution results and CD evaluation with respect to these measurements will be presented.


22nd Annual BACUS Symposium on Photomask Technology | 2002

Aerial Image Measurement System for 157 nm Lithography

Klaus Eisner; Peter Kuschnerus; Jan-Peter Urbach; Christof Matthias Schilz; Thomas Engel; Axel Zibold; Takashi Yasui; Iwao Higashikawa

The worldwide first Aerial Image Measurement System (AIMS) for 157 nm lithography has been used to measure binary chrome and attenuated phase shift masks at 157 nm wavelength. The AIMS measurements were done for line structures from 200 nm up to 400 nm and for 500 nm contacts. Through focus series have been conducted to calculate the process windows for various structures and feature sizes.


Photomask and Next-Generation Lithography Mask Technology XI | 2004

Actinic aerial image measurement for qualification of defect on 157-nm photomask

Takashi Yasui; Iwao Higashikawa; Peter Kuschnerus; Wolfgang Degel; Klaus Boehm; Axel Zibold; Yuji Kobiyama; Jan-Peter Urbach; Christof Matthias Schilz; Silvio Teuber Semmler

Measurement by AIMS is the final step of mask defect control, and its accuracy is the critical issue to make guaranty and improve the mask quality. AIMS157 has developed by Carl Zeiss SMS GmbH and is expected to make a contribution to accelerate the 157nm lithography technology development. AIMS157 has been challenging to solve 157nm specific optical issues with accuracy for 65nm node photomask specifications. This paper discusses the defect measurement by AIMS157. Evaluation using programmed defect mask, repeatability is analyzed changing the optical parameters. Static and dynamic measurements were evaluated and the result shows the improved accuracy. It shows the possibility to be applied on 65nm node and smaller feature size.


Optical Microlithography XVII | 2004

First results from AIMS beta tool for 157-nm lithography

Silvio Teuber; Iwao Higashikawa; Jan-Peter Urbach; Christof Matthias Schilz; Roderick Koehle; Axel Zibold

In modern mask manufacturing, a successful defect mitigation strategy has been become crucial to achieve defect free masks for high-end lithography. The basic steps of such a strategy include inspection, repair, and subsequent post-repair qualification of repair sites. For the latter task, actinic aerial image measurements have been proven to be the technique of choice to assess the printability of a repaired site. In the last three years, International SEMATECH in cooperation with Infineon/AMTC-Dresden and SELETE, funded a joint development project at Carl Zeiss to develop an AIMS tool operating at the 157nm wavelength. The three beta tools were shipped in 2003 to the three beta customer sites. In this paper are presented the first results obtained with these beta tools, including measurements on binary as well as alternating phase shift masks. The technical properties of the tool were discussed with regards to the capability of the tool for defect qualification on photomasks. Additionally, preliminary results of the evaluation of alternating phase shift masks will be discussed, including measurements performed on dense lines-and-spaces structures with various pitch sizes.


Optical Microlithography XVI | 2003

Image performance and mask characterization of 157-nm alternating phase-shifting mask

Yung-Tin Chen; Jeff Meute; Kim Dean; David R. Stark; Christof Matthias Schilz; Wolfgang Dettmann; Roderick Koehle; Bettina Schiessl; Wolfgang Degel

In this paper, we present a process of balancing the aerial image and analyzing the results of resist images of 157-nm alternating PSM with a 0.85 NA lens. The mask is made by dual trench technique with a phase-etch of 115nm and an isotropic under-etch of 90nm as predicted by simulations. With this dual trenched mask, the wafer printing images show tremendous improvement on “line walking” or “line paring” phenomena. The ultimate resolution is 60nm dense line. The focus latitude is around 0.1 to 0.15 um. We also used a 157-nm AIMS tool to check intensity balance. The results supports balanced intensity of this mask. For mask quality characterization, etch depth is measured by AFM and mask CD is measured by CD SEM. The uniformity of etching depth and mask CD are all within specifications. We also present some abnormal CD variation across line array observed during this investigation. The results from this work give a good groundwork of 157-nm capability of alternating PSM and 157-nm resist imaging quality.


19th European Conference on Mask Technology for Integrated Circuits and Microcomponents | 2003

Performance of the aerial image measurement system for 157-nm lithography

Peter Kuschnerus; Thomas Engel; Wolfgang Harnisch; Claudia Hertfelder; Axel Zibold; Jan-Peter Urbach; Christof Matthias Schilz; Klaus Eisner

The first Aerial Image Measurement System (AIMSTM) for 157 nm lithography worldwide has been brought into operation successfully. Its performance will be demonstrated by AIMSTM measurements at 157 nm wavelength on binary chrome masks. Several through focus series have been measured in order to calculate the process windows for various structures with feature sizes at mask level of 300 nm and below. The latest results on enhanced illumination stability will be presented and a resolution that will enable an extension of the tool usage down to the 45 nm node. Using off-axis illumination 150 nm lines and spaces mask structures have been resolved.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Influence of 157-nm specific cleaning procedures on the quality of FIB repair depositions on reticles

Klaus Eisner; Christof Matthias Schilz; Alivina Williams; Stefan Hien; Martin Verbeek

An UV lamp cleaning system (172 nm) and a 157 nm laser have been used to irradiate Ga-based focused ion beam (FIB) repair depositions on 193 nm and 157 nm reticles. The thickness change of the depositions due to the irradiation has been measured using an atomic force microscope. For the 193 nm reticle additional transmission measurements were realized. These depositions are found to be highly resistant to UV lamp cleaning treatments of up to 18h (2mW/cm2, 1% O2). Extended tests were also done with 157 nm irradiation (9kJ/cm2, 1 - 10ppm O2). At the end of these tests a film loss of the depositions of 0.4nm /kJ/cm2 and a transmission change of 10% could be determined.


21st Annual BACUS Symposium on Photomask Technology | 2002

Influence of e-beam-induced contamination on the printability of resist structures at 157-nm exposure

Christof Matthias Schilz; Klaus Eisner; Stefan Hien; Thomas Schleussner; Ralf Ludwig; Armin Semmler

A CD-SEM was used to contaminate specific areas in dense line test structures on a 10x chrome on glass binary mask with e-beam induced deposition of hydrocarbons. Different degrees of contamination have been realised by varying the exposure time. Additionally, styrene was deposited with focussed ion beam (FIB) at different doses. The impact of the transmission loss caused by the deposited carbon was investigated by printing the manipulated test structures using Sematechs 157nm Exitech Micro Stepper and an experimental 157nm resist. Resist line width variations revealed the impact of the mask deposits on imaging. Additionally, simulations have been performed using 2D Kirchoff approximations in order to predict changes of the process windows and CD.


Archive | 2003

Method for patterning a mask layer and semiconductor product

Frank-Michael Kamm; Christof Matthias Schilz


Archive | 2011

Module with silicon-based layer

Ralf Otremba; Xaver Schloegel; Christof Matthias Schilz

Collaboration


Dive into the Christof Matthias Schilz's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge