Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Frank-Michael Kamm is active.

Publication


Featured researches published by Frank-Michael Kamm.


Japanese Journal of Applied Physics | 2002

Influence of Silicon on Insulator Wafer Stress Properties on Placement Accuracy of Stencil Masks.

Frank-Michael Kamm; Albrecht Ehrmann; Herbert Schäfer; Werner Pamler; Rainer Käsmaier; Jörg Butschke; Reinhard Springer; Ernst Haugeneder; H. Löschner

The issue of placement control is one of the key challenges of stencil mask technology. A high placement accuracy can only be achieved with a precise control of mechanical stress on a global and local scale. For this reason, the stress properties of the mask blank material -typically silicon on insulator (SOI) wafers- have to be known and adjusted properly. A systematic investigation of initial stress properties of various SOI materials is presented. The study covers bonded and non-bonded wafers and demonstrates a global membrane stress accuracy of 0.1 MPa. Initial SOI-stress properties on a global and local scale are discussed. With the precise control of layer stress and pattern correction methods based on finite-element calculations, a placement accuracy of 12 nm (3σ) is achieved. A sample-to-sample error of 12 nm (3σ) indicates the high stress-uniformity of non-bonded material.


18th European Mask Conference on Mask Technology for Integrated Circuits and Micro-Components | 2002

EUV mask development: Material and process

Jenspeter Rau; Hermann Wendt; Josef Mathuni; Christoph Stepper; Albrecht Ehrmann; Frank-Michael Kamm

Extreme ultraviolet lithography (EUVL) is one of the most promising technologies for wafer feature sizes of below 50nm. The illumination wavelength will be approximately 13.5nm and consequently no transmissive optics can be used for this soft X-ray light. For several years intensive research work has been performed in different programs mainly through EUV-LLC, ASET and PREUVE. This has resulted in providing solutions for the most critical tasks of EUVL - powerful sources, defect free mask blanks and environmentally stable optics of high reflectivity. During the development with EUV-LLC an engineering test stand for illumination has been built which will be a powerful tool for the development for EUVL masks. We have studied the patterning of a EUVL mask for process development and repair tests. The material was a standard Cr absorber (as used in production) and a SiO2 buffer layer. The process investigation was focused on the dry etch stop behaviour of the etch processes and also on cleaning issues. The mask concept favoured today for EUVL masks is very similar to the masks used in production; consequently most work is performed on Cr as the absorber material and SiO2 as the buffer material. From results presented in recent years we can surmise that Cr and TaN are at present the most promising candidates as absorber materials. However it is also known that it will be very difficult to develop an etch-bias free process for Cr. In this paper we shall present our results detailing the etch properties of Ta and TaN as an absorber material. TaN is shown to be a promising absorber material. In addition, the impact of mask properties on placement and bow has been investigated with finite element calculations.


Emerging Lithographic Technologies IX | 2005

Comparison of EUV mask architectures by process window analysis

Siegfried Schwarzl; Frank-Michael Kamm; Stefan Hirscher; Klaus Lowack; Wolf-Dieter Domke; Markus Bender; Stefan Wurm; Adam R. Pawloski; Bruno La Fontaine; Christian Holfeld; Uwe Dersch; Florian Letzkus; Joerg Butschke

Several masks have been fabricated and exposed with the small-field Micro Exposure Tool (MET) at the Advanced Light Source (ALS) synchrotron in Berkeley using EUV radiation at 13.5 nm wavelength. Investigated mask types include two different absorber masks with TaN absorber as well as an etched multilayer mask. The resulting printing performance under different illumination conditions were studied by process window analysis on wafer level. Features with resolution of 60 nm and below were resolved with all masks. The TaN absorber masks with different stack thicknesses showed a similar size of process window. The differences in process windows for line patterns were analyzed for 60 nm patterns. The implications on the choice of optimum mask architecture are discussed.


SPIE 31st International Symposium on Advanced Lithography | 2006

Evaluation of most recent chemically amplified resists for high resolution direct write using a Leica SB350 variable shaped beam writer

Anatol Schwersenz; Dirk Beyer; Monika Boettcher; Kang-Hoon Choi; Ulrich Denker; Christoph Hohle; Mathias Irmscher; Frank-Michael Kamm; Karl-Heinz Kliem; Johannes Kretz; Holger Sailer; Frank Thrum

E-beam direct writing, one node ahead of advanced optical lithography, can be a time and cost effective option for early device and technology development as well as for fast prototyping. Because of the device complexity only a variable-shaped e-beam writer combined with sensitive chemically amplified resists (CAR) can be considered for this approach. We evaluated various pCARs and nCARs of all major suppliers for our goal to structure DRAMs of the 50nm node using the Leica SB350 e-beam writer. The most promising samples were selected for a process optimization by variation of bake and development conditions. Finally, one resist of each tonality met the most of our specifications like dense lines and contact holes resolution, sensitivity and vacuum stability.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Defect Printability Study using EUV Lithography

Christian Holfeld; Karsten Bubke; Falk Lehmann; Bruno La Fontaine; Adam R. Pawloski; Siegfried Schwarzl; Frank-Michael Kamm; Thomas Graf; Andreas Erdmann

Defect-free masks are one of the top issues for enabling EUV lithography at the 32-nm node. Since a defect-free process cannot be expected, an understanding of the defect printability is required in order to derive critical defect sizes for the mask inspection and repair. Simulations of the aerial image are compared to the experimental printing in resist on the wafer. Strong differences between the simulations and the actual printing are observed. In particular the minimum printable defect size is much larger than expected which is explained in terms of resist resolution. The defect printability in the current configuration is limited by the resist process rather than the projection optics.


24th Annual BACUS Symposium on Photomask Technology | 2004

Comparative study of mask architectures for EUV lithography

Adam R. Pawloski; Bruno La Fontaine; Harry J. Levinson; Stefan Hirscher; Siegfried Schwarzl; Klaus Lowack; Frank-Michael Kamm; Markus Bender; Wolf-Dieter Domke; Christian Holfeld; Uwe Dersch; Patrick P. Naulleau; Florian Letzkus; Joerg Butschke

Three different architectures were compared as candidates for EUV lithography masks. Binary masks were fabricated using two different stacks of absorber materials and using a selective etching process to directly pattern the multilayer of the mask blank. To compare the effects of mask architecture on resist patterning, all three masks were used to print features into photoresist on the EUV micro-exposure tool (MET) at Lawrence Berkeley National Laboratory. Process windows, depth of focus, mask contrast at EUV, and horizontal and vertical line width bias were use as metrics to compare mask architecture. From printing experiments, a mask architecture using a tantalum nitride absorber stack exhibited the greatest depth of focus and process window of the three masks. Experimental results obtained using prototype masks are discussed in relation to simulations. After accounting for CD biasing on the masks, similar performance was found for all three mask architectures.


20th European Conference on Mask Technology for Integrated Circuits and Microcomponents | 2004

Optimized processes and absorber-stack materials for EUV masks

Josef Mathuni; Jenspeter Rau; Frank-Michael Kamm; Guenther Ruhl; Ch. Holfeld; Florian Letzkus; Corinna Koepernik; Joerg Butschke

Currently, EUV lithography targets for sub-50 nm features. These very small feature sizes are used for reflective illumination and impose great challenges to the mask maker since they do not allow a simple downscaling of existing technologies. New material combinations for absorber and buffer layer of EUV masks have to be evaluated and fundamental material limits have to be overcome. We report on optimized absorber-stack materials and compare in particular the performance of chrome and tantalum nitride for such small nodes. Tantalum nitride shows similar or even better properties than standard chrome, above all with respect to etch bias. Further investigations have to be done but this material is a promising candidate for feature sizes in the sub-50 nm range.


18th European Mask Conference on Mask Technology for Integrated Circuits and Micro-Components | 2002

Measures to achieve 20nm IPL stencil mask distortion

Ernst Haugeneder; Alfred Chalupka; T Lammer; Hans Loeschner; Frank-Michael Kamm; Thomas Struck; Albrecht Ehrmann; Rainer Kaesmaier; Andreas Wolter; Joerg Butschke; Mathias Irmscher; Florian Letzkus; Reinhard Springer

From detailed comparisons of stencil mask distortion measurements with Finite Element (FE) analyses the parameters of influence are well known. Most of them are under control of the mask manufacturer, such as the membrane stress level and the etching process. By means of FE analysis the different contributions may be classified. Some of the errors can be reduced if more stringent specifications of the SOI wafer are fulfilled, some of them may be reduced after pre-calculation. Reduction of the remaining placement errors can be achieved if specific means of an Ion Projection Lithography (IPL) tool are applied. These are mainly magnification and anamorphic corrections removing so-called global distortions. The remaining local distortions can be further reduced by applying the concept of thermal mask adjustment (THEMA).


Photomask and next-generation lithography mask technology. Conference | 2003

Development of a plasma etch process for TaN absorber patterning on EUV masks

Guenther Ruhl; Josef Mathuni; Dirk Knobloch; Frank-Michael Kamm; Jenspeter Rau; Florian Letzkus; Reinhard Springer

EUV mask technology poses many new challenges on mask manufacturing processes. One crucial manufacturing step is the patterning of the EUV absorber. Although in the first concepts a Chromium film is used as absorber, increasing demands for shrinking feature sizes will run Chromium out of steam. Due to the necessary oxygen content of the chromium etch plasma and the isotropic etch mechanism for chromium an etch bias of several 10 nm occurs. This results in limitations for the minimal feature size, for which reason a new absorber material has to be developed. The most promising candidate is Tantalum Nitride TaN, which in contrast to the isotropic Cr-etch process, gives the possibility of applying a more anisotropic etch utilizing higher ion energies and sidewall passivation. In this work a plasma etch process for TaN masked with positive CAR resist was developed on masks including a SiO2 buffer layer. Before running the experiments for process characterization, an endpoint detection solution by OES for very small open areas was developed utilizing principal components analysis (PCA). Additionally, an experimental matrix was set up varying bias power, source power and pressure. The DoE experiments were analyzed with respect to etch selectivities, etch bias, etch polymer formation, sidewall angle, iso-dense bias and linearity. After characterisation of the experimental results, optimized process conditions are discussed. We show that this process is capable of resolving feature sizes below 100 nm.


19th European Conference on Mask Technology for Integrated Circuits and Microcomponents | 2003

Mask CD characterization with EUV reflectometry at the electron storage ring BESSY II

Albrecht Ehrmann; Jenspeter Rau; Andreas Wolter; Frank-Michael Kamm; Josef Mathuni; Frank Scholze; Johannes Tummler; Gerhard Ulm

CD metrology requirements have increased dramatically within the last years. For the coming technology generations, it is not clear which CD measurement method will be standard for mask manufacturing. An interesting approach is to use the diffracted signal of periodic mask patterns for determination of CD. For wafer CD measurement, CD scatterometry tools using visible or UV wavelengths are already commercially available. For this experiment, diffracted EUV light was used. Dense lines of pitches 1:1, 2:1 and 5 :1 and nominal CDs of 150 nm, 200 nm, 300 nm, 400 nm and 500nm have been illuminated with EUV light of ?= 13.35 nm at the BESSY II storage ring in Berlin. The reflected signal has been collected with a movable detector in a range of -1 ° to 200 relative to the specular reflection. With the angular position of the peak, the pitch can be calculated. The CD, however, is related to the intensity of the peaks. Several effects as mask topography and measurement uncertainties are discussed. The results are compared to CD-SEM measurements of the same patterns.

Collaboration


Dive into the Frank-Michael Kamm's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge