Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Axel Zibold is active.

Publication


Featured researches published by Axel Zibold.


24th Annual BACUS Symposium on Photomask Technology | 2004

Aerial image measuring system at 193 nm: a tool-to-tool comparison and global CD mapping

Axel Zibold; Rainer Schmid; Klaus Boehm; Robert Birkner

Reticle inspection and qualification is getting very important due to the overall shrinking feature sizes on chips and CD values less than the exposure wavelength. Mask defects will matter increasingly and successful defect disposition and image qualification is becoming essential to improve yield. Currently ongoing studies demonstrate the beneficial use of AIMSTM* (Aerial Image Measuring System) -besides its application in mask shops like repair verification- for various wafer fab applications like Incoming Qualitiy Check (IQC), Automated Reticle Defect Disposition (ARDD)1, OPC verification or litho process evaluation in engineering without the use of stepper time and image qualification through wafer SEM evaluation. Among the important questions for the use of an aerial image measuring system is the level on which different tools compare to each other in terms of critical system performance parameters in order to judge the results of the data analysis in a global way. In this work we conducted a tool to tool comparison study of AIMSTM fab 193 systems investigating parameters like: Normalized illumination uniformity, CD (critical dimension) uniformity over field, and static CD repeatability over time in x- and y-directions. The study is based on the evaluation of a data base collected with typical feature sizes of 1μm on the mask, ensuring with such feature sizes that tool results are independent of mask features being close to the resolution limit or the printability capability. Typical settings are NA = 0.7 and circular sigma = 0.6 on a set of tools in the field as well as in-house. In addition the performance of the tools will be discussed in terms of a specific application, global CD mapping, for use in process control. It can be applied for different use in wafer fab and mask shop environment.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Improved prediction of across chip linewidth variation (ACLV) with photomask aerial image CD metrology

Eric Poortinga; Axel Zibold; Will Conley; Lloyd Litt; Bryan S. Kasprowicz; Michael Cangemi

Critical dimension (CD) metrology is an important process step within the wafer fab. Knowledge of the CD values at resist level provides a reliable mechanism for the prediction of device performance. Ultimately tolerances of device electrical performance drive the wafer linewidth specifications of the lithography group. Staying within this budget is influenced mainly by the scanner settings, resist process and photomask quality. At the 65nm node the ITRS roadmap calls for sub-3nm photomask CD uniformity to support a sub-3nm wafer level CD uniformity. Meeting these targets has proven to be a challenge. What can be inferred from these specifications is that photomask level CD performance is the direct contributor to wafer level CD performance. With respect to phase shift masks, criteria such as phase and transmission control are also tightened with each technology node. A comprehensive study is presented supporting the use of photomask aerial image emulation CD metrology to predict wafer level Across Chip Linewidth Variation (ACLV). Using the aerial image can provide more accurate wafer level prediction because it inherently includes all contributors to image formation such as the physical CD, phase, transmission, sidewall angle, and other material properties. Aerial images from different photomask types were captured to provide across chip CD values. Aerial image measurements were completed using an AIMSTMfab193i with its through-pellicle data acquisition capability including the Global CDU MapTM software option for AIMSTM tools. The through-pellicle data acquisition capability is an essential prerequisite for capturing final CD data (after final clean and pellicle mounting) before the photomask ships or for re-qualification at the wafer fab. Data was also collected on these photomasks using a conventional CD-SEM metrology system with the pellicles removed. A comparison was then made to wafer prints demonstrating the benefit of using aerial image CD metrology.


20th European Conference on Mask Technology for Integrated Circuits and Microcomponents | 2004

Aerial image measurement technique for today's and future 193-nm lithography mask requirements

Axel Zibold; Thomas Scheruebl; Alexander Menck; Robert Brunner; Joern Greif

The Aerial Image Measurement System (AIMS) for 193 nm lithography emulation has been brought into operation worldwide successfully. Adjusting optical equivalent settings to steppers/scanners the AIMS system for 193 nm allows to emulate any type of reticles for 193 nm lithography. The overall system performance is demonstrated by AIMS measurements at 193 nm wavelength on binary chrome masks and phase shift masks. Especially for evaluation of 65 nm node lithography performance process window results will be discussed. An ArF excimer laser is in use for illumination. Therefore a beam homogenizer is needed to reduce the speckles in the laser beam and ensure a similar illumination uniformity as the longer wavelength systems, 248 nm and longer, using an arc source. A new beam homogenizing technique will be presented and illumination results compared to the current solution. The latest results on enhanced illumination uniformity exceed the current performance. A newly developed hybrid objective for high resolution imaging is tested for use of high resolution imaging in order to review defects and investigate repairs which do not print under stepper equivalent optical settings. An outlook will be given for extension of 193 nm aerial imaging down to the 45 nm node. Polarization effects will be discussed.


Metrology, inspection, and process control for microlithography. Conference | 2006

Printability study with polarisation capable AIMS™ fab 193i to study polarisation effects

Axel Zibold; Ulrich Stroessner; Andrew Ridley; Thomas Scherübl; Norbert Rosenkranz; Wolfgang Harnisch; Eric Poortinga; Rainer Schmid; Joost Bekaert; Vicky Philipsen; Lieve Van Look; Peter Leunissen

Immersion lithography offers the semiconductor industry an opportunity to extend the current ArF processes to smaller nodes before switching to a shorter wavelength. The transition to immersion will require increased attention to the photomask along with new effects influencing the aerial image formation as the numerical apertures (NA) of scanners move up to at least 0.93 and beyond. Feature sizes on the photomask become comparable to, or even smaller than the wavelength and hence act more like wire grid polarisers which lead to polarisation effects. As of today AIMSTM fab tools are in operation worldwide, with the novel AIMSTM fab 193i offering a maximum NA of 0.93 and is the latest aerial image measurement system for ArF-lithography emulation down to the 65nm node. Common adjustments include numerical aperture, illumination type and partial illumination coherence to match the conditions in 193nm scanners. In addition to unpolarised illumination, the AIMSTM fab 193i allows the user to select linear x and y polarised light for different settings and types, e.g. off-axis annular, quadrupole or dipole illumination. In this paper the polarisation effects of different photomask features are explored by comparing measurement results using linear polarised illumination parallel and perpendicular to line and space patterns and non-polarised illumination. A new scanner mode will be presented for the investigation of contrast loss due to polarisation effects from imaging.


24th Annual BACUS Symposium on Photomask Technology | 2004

Immersion mask inspection with hybrid-microscopic systems at 193 nm

Robert Brunner; Alexander Menck; Reinhard Steiner; Gerd Buchda; Steffen Weissenberg; Uwe Horn; Axel Zibold

The capability of a high NA, large working distance, microscope objective was demonstrated by investigating different mask features. The microscope objective is based on a hybrid concept combining diffractive and refractive optical elements. Resolution down to 125 nm lines and spaces (L/S) is demonstrated by investigating periodic chrome on glass structures. A significant additional improvement of the resolution is achieved by inducing a solid immersion lens (SIL).


Proceedings of SPIE, the International Society for Optical Engineering | 2005

Image imbalance compensation in alternating Phase-Shift Masks towards the 45nm node through-pitch imaging

Lieve Van Look; Bryan S. Kasprowicz; Axel Zibold; Wolfgang Degel; Geert Vandenberghe

The use of an Alternating Phase-Shift Mask (AltPSM) is a strong resolution enhancement technique combining high contrast and a low Mask Error Enhancement Factor with a large focus depth. However, image (or intensity) imbalance, which is intrinsically related to AltPSM imaging, is known to produce focus-dependent feature shifts. The evolution towards hyper NA immersion lithography systems and the associated shrinkage of feature sizes and pitches also puts stronger demands on the placement of the printed features, in order to meet the overlay requirements. Therefore, a good image imbalance reduction strategy is important for a successful implementation of AltPSM in manufacturing. A first step towards this implementation is to find a through-pitch imaging solution guaranteeing both the line width and line position to be within CD and overlay specifications in a sufficiently large dose-focus window. In this paper, we present a strategy to evaluate AltPSM imaging results by monitoring the edge displacement of the printed feature caused by image imbalance. The proposed method insures correct line printing within the calculated process window, taking image imbalance into account. We experimentally assess the imaging performance of a current state-of-the-art dry etched AltPSM with a nominal trench bias on a 0.85 NA immersion scanner. The results demonstrate that a through-pitch solution for printing 65 nm lines on wafer from P140 nm to isolated lines exists that meets both the CD and overlay requirements. Moreover, we have developed a methodology that effectively solves the image imbalance using a pitch-dependent trench bias in combination with an optimized etch depth, which should be chosen in accordance with the dose used for printing the 65 nm line.


Advanced microlithography technologies. Conference | 2005

Using the aerial image measurement technique to speed up mask development for 193-nm immersion and polarization lithography

Axel Zibold; Wolfgang Harnisch; Thomas Scheruebl; Norbert Rosenkranz; Joern Greif

The Aerial Image Measurement System (AIMS) for 193nm lithography emulation is established as a standard for the rapid prediction of wafer printability of critical features, such as dense patterns or contacts, defects or repairs on masks. The benefit of AIMS is to save expensive image qualification consisting of test wafer exposures followed by wafer SEM measurements. By adjustment of numerical aperture, illumination type and partial coherence to match the stepper or scanner, AIMS predicts the printability of any 193nm reticle like binary, OPC and PSM. The newly available 193nm 2nd generation AIMS fab systems are able to emulate numerical apertures (NA) up to 0.92 and provide a capability down to 65nm node regardless of the use of an immersion liquid or dry conditions. Rigorous simulation studies have been performed to study the matching of AIMS and scanner results at NA = 0.92 and to study the extension of the AIMS technique for immersion lithography emulation of hyper NA up to at least 1.4. Strong polarization effects depending on mask patterns and material as well as imaging effects will occur below the 65nm node. It will be shown that using the polarization capabilities of such a future immersion AIMS tool will provide a very suitable immersion lithography emulator. Together with low k1 values and polarization effects, 193nm mask design and manufacturing will face increased challenges for design and OPC placement at the 65nm node and below. Aerial image measurements of test masks using AIMS will then be crucial to speed up mask development. We propose to measure reticles on critical points as defined by simulation or areas of concern for manufacture with the AIMS system to analyze defect printability and mask manufacturability.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Aerial image measurement technique for fast evaluation of 193-nm lithography masks

Axel Zibold; Thomas Scheruebl; Wolfgang Harnisch; Robert Brunner; Joern Greif

The Aerial Image Measurement System (AIMS) for 193 nm lithography emulation has been brought into operation successfully worldwide. By adjustment of illumination type, numerical aperture and partial coherence to match the conditions in 193 nm steppers or scanners, AIMS can emulate for any type of reticles like binary, OPC and phase shift. AIMS allows a rapid prediction of wafer printability of critical features, like dense patterns or contacts, defects or repairs on the masks without the need to do real wafer prints using the cost intensive lithography equipment. Therefore, AIMS is a mask quality verification standard for high-end masks established in mask shops worldwide. With smaller nodes, where design rules are below 100 nm and low k1 factors are used in the lithography process, the increasing printability of even smaller defects on reticles is becoming a serious problem. The evaluation of defect printability using AIMS becomes a significant aid and cost-saving technique to be applied directly in the wafer fab. The overall measurement capability of the 193 nm AIMS system will be demonstrated by measurements at 193 nm wavelength on attenuated phase shift masks. Excellent illumination uniformity is crucial for quantitative analysis of AIMS measurements such as CD variation or defect printability. To reduce disturbing speckle formation of the highly coherent ArF excimer laser a new beam homogenizing technique which contains motionless parts only will be presented as well as illumination homogeneity results compared to the current solution using a spinning scattering disk. The latest results on illumination performance exceed the current results especially with respect to illumination uniformity over the field. The improved performance will enable improved measurement capability down to the 65 nm node. An outlook will be given for extension of 193 nm aerial imaging down to the 45 nm node emulating immersion scanners.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

First results for hyper NA scanner emulation from AIMS 45-193i

Axel Zibold; Ulrich Strössner; Norbert Rosenkranz; Andrew Ridley; Rigo Richter; Wolfgang Harnisch; Alvina M. Williams

Immersion lithography offers the semiconductor industry the opportunity to extend current ArF processes before switching to shorter wavelengths. As numerical apertures of scanners for hyper NA move above 1.0 with immersion lithography, increased attention must be paid to the photomask or reticle and its wafer printability. Feature sizes on the photomask become increasingly critical as they behave more like partial wire grid polarisers, as they become comparable to, or smaller than the wavelength. Besides challenges to address reticle polarisation effects, lithographers must also consider the polarisation state of the illumination and subsequently the contrast loss for light with a TM polarisation state. Such an effect, also called the vector effect, is caused by the increasing angle of incidence of the diffracted light for larger numerical apertures on the scanner. Therefore, for wafer printing using hyper NA scanners, the industry consensus is that TE polarised illumination must be used to meet the stringent requirements of imaging contrast. In this paper, initial results of measurements using the optical test stand and the alpha tool of a completely new AIMSTM tool for the 45nm node will be presented. The system covers all aspects of immersion and polarisation lithographic emulation. Measurements have been made on binary and phase shift masks with different sizes of features and on programmed defects.


Photomask and next-generation lithography mask technology. Conference | 2003

Actinic aerial image measurement tool for 157-nm mask qualification

Takashi Yasui; Iwao Higashikawa; Peter Kuschnerus; Thomas Engel; Axel Zibold; Claudia Hertfelder; Yuji Kobiyama; Jan-Peter Urbach; Christof Matthias Schilz; Armin Semmler

The challenge to achieve an early introduction of 157 nm lithography requires various advanced metrology systems to evaluate the 65 nm node lithography performances, equipments and processes. Carl Zeiss AIMS tool based on the Aerial Image Measurement Software is the most promising approach to evaluate the mask quality in terms of aerial image properties, in order to assess post repair quality. Selete has joint activities with Carl Zeiss, International SEMATECH and Infineon to accelerate the development of an AIMS tool operating at the 157 nm wavelength. The alpha tool phase of the project has been completed, and beta tools are currently being built. This paper is discussing the results from measurements on the alpha tool of some 157 nm attenuated phase shift masks (Att-PSM). Resolution results and CD evaluation with respect to these measurements will be presented.

Collaboration


Dive into the Axel Zibold's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge