Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Dana Klein is active.

Publication


Featured researches published by Dana Klein.


Proceedings of SPIE | 2012

Overlay accuracy fundamentals

Daniel Kandel; Vladimir Levinski; Noam Sapiens; Guy Cohen; Eran Amit; Dana Klein; Irina Vakshtein

Currently, the performance of overlay metrology is evaluated mainly based on random error contributions such as precision and TIS variability. With the expected shrinkage of the overlay metrology budget to < 0.5nm, it becomes crucial to include also systematic error contributions which affect the accuracy of the metrology. Here we discuss fundamental aspects of overlay accuracy and a methodology to improve accuracy significantly. We identify overlay mark imperfections and their interaction with the metrology technology, as the main source of overlay inaccuracy. The most important type of mark imperfection is mark asymmetry. Overlay mark asymmetry leads to a geometrical ambiguity in the definition of overlay, which can be ~1nm or less. It is shown theoretically and in simulations that the metrology may enhance the effect of overlay mark asymmetry significantly and lead to metrology inaccuracy ~10nm, much larger than the geometrical ambiguity. The analysis is carried out for two different overlay metrology technologies: Imaging overlay and DBO (1st order diffraction based overlay). It is demonstrated that the sensitivity of DBO to overlay mark asymmetry is larger than the sensitivity of imaging overlay. Finally, we show that a recently developed measurement quality metric serves as a valuable tool for improving overlay metrology accuracy. Simulation results demonstrate that the accuracy of imaging overlay can be improved significantly by recipe setup optimized using the quality metric. We conclude that imaging overlay metrology, complemented by appropriate use of measurement quality metric, results in optimal overlay accuracy.


Proceedings of SPIE | 2013

Overlay accuracy calibration

Eran Amit; Dana Klein; Guy Cohen; Nuriel Amir; Michael Har-Zvi; Cindy Kato; Hiroyuki Kurita

In order to fulfill the ever tightening requirements of advanced node overlay budgets, overlay metrology is becoming more and more sensitive to even the smallest imperfections in the metrology target. Under certain circumstances, inaccuracy due to such target imperfections can become the dominant contribution to the metrology uncertainty and cannot be quantified by the standard TMU contributors. In this paper we describe a calibration method that makes the overlay measurement robust to target imperfections without diminishing its sensitivity to the target overlay. The basic assumption of the method is that overlay measurement result can be approximated as the sum of two terms: the accurate overlay and the measurement inaccuracy (independently of the conventional contributors). While the first term (the “real overlay”) is robust it is known that the overlay target inaccuracy depends on the measurement conditions. This dependence on measurement conditions is used to estimate quantitative inaccuracy by means of the overlay quality merit which was described in previous publications. This paper includes the theoretical basis of the method as well as experimental validation.


Proceedings of SPIE | 2013

Quality metric for accurate overlay control in <20nm nodes

Dana Klein; Eran Amit; Guy Cohen; Nuriel Amir; Michael Har-Zvi; Chin-Chou Kevin Huang; Ramkumar Karur-Shanmugam; Bill Pierson; Cindy Kato; Hiroyuki Kurita

The semiconductor industry is moving toward 20nm nodes and below. As the Overlay (OVL) budget is getting tighter at these advanced nodes, the importance in the accuracy in each nanometer of OVL error is critical. When process owners select OVL targets and methods for their process, they must do it wisely; otherwise the reported OVL could be inaccurate, resulting in yield loss. The same problem can occur when the target sampling map is chosen incorrectly, consisting of asymmetric targets that will cause biased correctable terms and a corrupted wafer. Total measurement uncertainty (TMU) is the main parameter that process owners use when choosing an OVL target per layer. Going towards the 20nm nodes and below, TMU will not be enough for accurate OVL control. KLA-Tencor has introduced a quality score named ‘Qmerit’ for its imaging based OVL (IBO) targets, which is obtained on the-fly for each OVL measurement point in X & Y. This Qmerit score will enable the process owners to select compatible targets which provide accurate OVL values for their process and thereby improve their yield. Together with K-T Analyzer’s ability to detect the symmetric targets across the wafer and within the field, the Archer tools will continue to provide an independent, reliable measurement of OVL error into the next advanced nodes, enabling fabs to manufacture devices that meet their tight OVL error budgets.


Proceedings of SPIE | 2012

Overlay quality metric

Guy Cohen; Eran Amit; Dana Klein; Daniel Kandel; Vladimir Levinski

As overlay budget continues to shrink, an improved analysis of the different contributors to this budget is needed. A major contributor that has never been quantified is the accuracy of the measurements. KLA-Tencor developed a quality metric, that calculates and attaches an accuracy value to each OVL target. This operation is performed on the fly during measurement and can be applied without affecting MAM time or throughput. Using a linearity array we demonstrate that the quality metric identifies targets deviating from the intended OVL value, with no false alarms.


Proceedings of SPIE | 2011

Improved overlay control using robust outlier removal methods

John C. Robinson; Osamu Fujita; Hiroyuki Kurita; Pavel Izikson; Dana Klein; Inna Tarshish-Shapir

Overlay control is one of the most critical areas in advanced semiconductor processing. Maintaining optimal product disposition and control requires high quality data as an input. Outliers can contaminate lot statistics and negatively impact lot disposition and feedback control. Advanced outlier removal methods have been developed to minimize their impact on overlay data processing. Rejection methods in use today are generally based on metrology quality metrics, raw data statistics and/or residual data statistics. Shortcomings of typical methods include the inability to detect multiple outliers as well as the unnecessary rejection of valid data. As the semiconductor industry adopts high-order overlay modeling techniques, outlier rejection becomes more important than for linear modeling. In this paper we discuss the use of robust regression methods in order to more accurately eliminate outliers. We show the results of an extensive simulation study, as well as a case study with data from a semiconductor manufacturer.


Metrology, Inspection, and Process Control for Microlithography XXXII | 2018

Spectral tunability for accuracy, robustness, and resilience

Einat Peled; Eran Amit; Yuval Lamhot; Alexander Svizher; Dana Klein; Anat Marchelli; Roie Volkovich; Tal Yaziv; Aaron Cheng; Honggoo Lee; Sangjun Han; Minhyung Hong; Seungyoung Kim; Jieun Lee; DongYoung Lee; Eungryong Oh; Ahlin Choi; Dongsub Choi; Dohwa Lee; Sanghuck Jeon; Jungtae Lee; Seongjae Lee; Zephyr Liu; Jeongpyo Lee; John C. Robinson

In overlay (OVL) metrology the quality of measurements and the resulting reported values depend heavily on the measurement setup used. For example, in scatterometry OVL (SCOL) metrology a specific target may be measured with multiple illumination setups, including several apodization options, two possible laser polarizations, and multiple possible laser wavelengths. Not all possible setups are suitable for the metrology method as different setups can yield significantly different performance in terms of the accuracy and robustness of the reported OVL values. Finding an optimal measurement setup requires great flexibility in measurement, to allow for high-resolution landscape mapping (mapping the dependence of OVL, other metrics, and details of pupil images on measurement setup). This can then be followed by a method for analyzing the landscape and selecting an accurate and robust measurement setup. The selection of an optimal measurement setup is complicated by the sensitivity of metrology to variations in the fabrication process (process variations) such as variations in layer thickness or in the properties of target symmetry. The metrology landscape changes with process variations and maintaining optimal performance might require continuous adjustments of the measurement setup. Here we present a method for the selection and adjustment of an optimal measurement setup. First, the landscape is measured and analyzed to calculate theory-based accurate OVL values as well as quality metrics which depend on details of the pupil image. These OVL values and metrics are then used as an internal ruler (“self-reference”), effectively eliminating the need for an external reference such as CD-SEM. Finally, an optimal measurement setup is selected by choosing a setup which yields the same OVL values as the self-reference and is also robust to small changes in the landscape. We present measurements which show how a SCOL landscape changes within wafer, wafer to wafer, and lot to lot with intentionally designed process variations between. In this case the process variations cause large shifts in the SCOL landscape and it is not possible to find a common optimal measurement setup for all wafers. To deal with such process variations we adjust the measurement setup as needed. Initially an optimal setup is chosen based on the first wafer. For subsequent wafers the process stability is continuously monitored. Once large process variations are detected the landscape information is used for selecting a new measurement setup, thereby maintaining optimal accuracy and robustness. Methods described in this work are enabled by the ATL (Accurate Tunable Laser) scatterometry-based overlay metrology system.


Proceedings of SPIE | 2016

Accuracy in optical overlay metrology

Barak Bringoltz; Tal Marciano; Tal Yaziv; Yaron DeLeeuw; Dana Klein; Yoel Feler; Ido Adam; Evgeni Gurevich; Noga Sella; Ze’ev Lindenfeld; Tom Leviant; Lilach Saltoun; Eltsafon Ashwal; Dror Alumot; Yuval Lamhot; Xindong Gao; James Manka; Bryan Chen; Mark Wagner

In this paper we discuss the mechanism by which process variations determine the overlay accuracy of optical metrology. We start by focusing on scatterometry, and showing that the underlying physics of this mechanism involves interference effects between cavity modes that travel between the upper and lower gratings in the scatterometry target. A direct result is the behavior of accuracy as a function of wavelength, and the existence of relatively well defined spectral regimes in which the overlay accuracy and process robustness degrades (`resonant regimes’). These resonances are separated by wavelength regions in which the overlay accuracy is better and independent of wavelength (we term these `flat regions’). The combination of flat and resonant regions forms a spectral signature which is unique to each overlay alignment and carries certain universal features with respect to different types of process variations. We term this signature the `landscape’, and discuss its universality. Next, we show how to characterize overlay performance with a finite set of metrics that are available on the fly, and that are derived from the angular behavior of the signal and the way it flags resonances. These metrics are used to guarantee the selection of accurate recipes and targets for the metrology tool, and for process control with the overlay tool. We end with comments on the similarity of imaging overlay to scatterometry overlay, and on the way that pupil overlay scatterometry and field overlay scatterometry differ from an accuracy perspective.


Proceedings of SPIE | 2015

Qmerit-calibrated overlay to improve overlay accuracy and device performance

Zakir Ullah; Mohamed Fazly Mohamed Jazim; Stella Sim; Alan Lim; Biow Hiem; Lieu Chia Chuen; Jesline Ang; Ek Chow Lim; Dana Klein; Eran Amit; Roie Volkovitch; David Tien; Dongsub Choi

In advanced semiconductor industries, the overlay error budget is getting tighter due to shrinkage in technology. To fulfill the tighter overlay requirements, gaining every nanometer of improved overlay is very important in order to accelerate yield in high-volume manufacturing (HVM) fabs. To meet the stringent overlay requirements and to overcome other unforeseen situations, it is becoming critical to eliminate the smallest imperfections in the metrology targets used for overlay metrology. For standard cases, the overlay metrology recipe is selected based on total measurement uncertainty (TMU). However, under certain circumstances, inaccuracy due to target imperfections can become the dominant contributor to the metrology uncertainty and cannot be detected and quantified by the standard TMU. For optical-based overlay (OBO) metrology targets, mark asymmetry is a common issue which can cause measurement inaccuracy, and it is not captured by standard TMU. In this paper, a new calibration method, Archer Self-Calibration (ASC), has been established successfully in HVM fabs to improve overlay accuracy on image-based overlay (IBO) metrology targets. Additionally, a new color selection methodology has been developed for the overlay metrology recipe as part of this calibration method. In this study, Qmerit-calibrated data has been used for run-to-run control loop at multiple devices. This study shows that color filter can be chosen more precisely with the help of Qmerit data. Overlay stability improved by 10~20% with best color selection, without causing any negative impact to the products. Residual error, as well as overlay mean plus 3-sigma, showed an improvement of up to 20% when Qmerit-calibrated data was used. A 30% improvement was seen in certain electrical data associated with tested process layers.


Proceedings of SPIE | 2012

Weighted least squares regression for advanced overlay control

Dana Klein; John C. Robinson; Guy Cohen; Chin-Chou Kevin Huang; Bill Pierson

Controlling overlay performance has become one of the key lithographic challenges for advanced integrated circuit manufacturing. Overlay error budgets of 4 nm in the 2x node require careful consideration of all potential error sources. Overlay data modeling is a key component for reducing systematic wafer and field variation, and is typically based on ordinary least squares (OLS) regression. OLS assumes that each data point provides equally reliable information about the process variation. Weighted least squares (WLS) regression can be used to improve overlay modeling by giving each data point an amount of influence on the model which depends on its quality. Here we use target quality merit metrics from the overlay metrology tool to provide the regression weighting factors for improved overlay control in semiconductor manufacturing.


Metrology, Inspection, and Process Control for Microlithography XXXII | 2018

Accuracy optimization with wavelength tunability in overlay imaging technology

Lee Honggoo; Sharon Aharon; Guy Ben-Dov; Anna Golotsvan; Dana Klein; Tal Marciano; Lilach Saltoun; Yoonshik Kang; Kyuchan Shim; Minhyung Hong; Sangjun Han; Seungyoung Kim; Jieun Lee; DongYoung Lee; Eungryong Oh; Ahlin Choi; Young-Sik Kim; Eitan Hajaj; Dan Serero

As semiconductor manufacturing technology progresses and the dimensions of integrated circuit elements shrink, overlay budget is accordingly being reduced. Overlay budget closely approaches the scale of measurement inaccuracies due to both optical imperfections of the measurement system and the interaction of light with geometrical asymmetries of the measured targets. Measurement inaccuracies can no longer be ignored due to their significant effect on the resulting device yield. In this paper we investigate a new approach for imaging based overlay (IBO) measurements by optimizing accuracy rather than contrast precision, including its effect over the total target performance, using wavelength tunable overlay imaging metrology. We present new accuracy metrics based on theoretical development and present their quality in identifying the measurement accuracy when compared to CD-SEM overlay measurements. The paper presents the theoretical considerations and simulation work, as well as measurement data, for which tunability combined with the new accuracy metrics is shown to improve accuracy performance.

Collaboration


Dive into the Dana Klein's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge