Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Eran Amit is active.

Publication


Featured researches published by Eran Amit.


Proceedings of SPIE | 2012

Overlay accuracy fundamentals

Daniel Kandel; Vladimir Levinski; Noam Sapiens; Guy Cohen; Eran Amit; Dana Klein; Irina Vakshtein

Currently, the performance of overlay metrology is evaluated mainly based on random error contributions such as precision and TIS variability. With the expected shrinkage of the overlay metrology budget to < 0.5nm, it becomes crucial to include also systematic error contributions which affect the accuracy of the metrology. Here we discuss fundamental aspects of overlay accuracy and a methodology to improve accuracy significantly. We identify overlay mark imperfections and their interaction with the metrology technology, as the main source of overlay inaccuracy. The most important type of mark imperfection is mark asymmetry. Overlay mark asymmetry leads to a geometrical ambiguity in the definition of overlay, which can be ~1nm or less. It is shown theoretically and in simulations that the metrology may enhance the effect of overlay mark asymmetry significantly and lead to metrology inaccuracy ~10nm, much larger than the geometrical ambiguity. The analysis is carried out for two different overlay metrology technologies: Imaging overlay and DBO (1st order diffraction based overlay). It is demonstrated that the sensitivity of DBO to overlay mark asymmetry is larger than the sensitivity of imaging overlay. Finally, we show that a recently developed measurement quality metric serves as a valuable tool for improving overlay metrology accuracy. Simulation results demonstrate that the accuracy of imaging overlay can be improved significantly by recipe setup optimized using the quality metric. We conclude that imaging overlay metrology, complemented by appropriate use of measurement quality metric, results in optimal overlay accuracy.


Proceedings of SPIE | 2013

Overlay accuracy calibration

Eran Amit; Dana Klein; Guy Cohen; Nuriel Amir; Michael Har-Zvi; Cindy Kato; Hiroyuki Kurita

In order to fulfill the ever tightening requirements of advanced node overlay budgets, overlay metrology is becoming more and more sensitive to even the smallest imperfections in the metrology target. Under certain circumstances, inaccuracy due to such target imperfections can become the dominant contribution to the metrology uncertainty and cannot be quantified by the standard TMU contributors. In this paper we describe a calibration method that makes the overlay measurement robust to target imperfections without diminishing its sensitivity to the target overlay. The basic assumption of the method is that overlay measurement result can be approximated as the sum of two terms: the accurate overlay and the measurement inaccuracy (independently of the conventional contributors). While the first term (the “real overlay”) is robust it is known that the overlay target inaccuracy depends on the measurement conditions. This dependence on measurement conditions is used to estimate quantitative inaccuracy by means of the overlay quality merit which was described in previous publications. This paper includes the theoretical basis of the method as well as experimental validation.


Proceedings of SPIE | 2013

Quality metric for accurate overlay control in <20nm nodes

Dana Klein; Eran Amit; Guy Cohen; Nuriel Amir; Michael Har-Zvi; Chin-Chou Kevin Huang; Ramkumar Karur-Shanmugam; Bill Pierson; Cindy Kato; Hiroyuki Kurita

The semiconductor industry is moving toward 20nm nodes and below. As the Overlay (OVL) budget is getting tighter at these advanced nodes, the importance in the accuracy in each nanometer of OVL error is critical. When process owners select OVL targets and methods for their process, they must do it wisely; otherwise the reported OVL could be inaccurate, resulting in yield loss. The same problem can occur when the target sampling map is chosen incorrectly, consisting of asymmetric targets that will cause biased correctable terms and a corrupted wafer. Total measurement uncertainty (TMU) is the main parameter that process owners use when choosing an OVL target per layer. Going towards the 20nm nodes and below, TMU will not be enough for accurate OVL control. KLA-Tencor has introduced a quality score named ‘Qmerit’ for its imaging based OVL (IBO) targets, which is obtained on the-fly for each OVL measurement point in X & Y. This Qmerit score will enable the process owners to select compatible targets which provide accurate OVL values for their process and thereby improve their yield. Together with K-T Analyzer’s ability to detect the symmetric targets across the wafer and within the field, the Archer tools will continue to provide an independent, reliable measurement of OVL error into the next advanced nodes, enabling fabs to manufacture devices that meet their tight OVL error budgets.


Proceedings of SPIE | 2012

Overlay quality metric

Guy Cohen; Eran Amit; Dana Klein; Daniel Kandel; Vladimir Levinski

As overlay budget continues to shrink, an improved analysis of the different contributors to this budget is needed. A major contributor that has never been quantified is the accuracy of the measurements. KLA-Tencor developed a quality metric, that calculates and attaches an accuracy value to each OVL target. This operation is performed on the fly during measurement and can be applied without affecting MAM time or throughput. Using a linearity array we demonstrate that the quality metric identifies targets deviating from the intended OVL value, with no false alarms.


Journal of Micro-nanolithography Mems and Moems | 2014

Techniques for improving overlay accuracy by using device correlated metrology targets as reference

Wei Jhe Tzai; Simon C. C. Hsu; Howard Chen; Charlie Chen; Yuan Chi Pai; Chun-Chi Yu; Chia Ching Lin; Tal Itzkovich; Lipkong Yap; Eran Amit; David Tien; Eros Huang; Kelly T. L. Kuo; Nuriel Amir

Abstract. The performance of overlay metrology as total measurement uncertainty, design rule compatibility, device correlation, and measurement accuracy has been challenged at the 2× nm node and below. The process impact on overlay metrology is becoming critical, and techniques to improve measurement accuracy become increasingly important. We present a methodology for improving the overlay accuracy. A propriety quality metric, Qmerit, is used to identify overlay metrology measurement settings with the least process impacts and reliable accuracies. Using the quality metric, a calibration method, Archer self-calibration, is then used to remove the inaccuracies. Accuracy validation can be achieved by correlation to reference overlay data from another independent metrology source such as critical dimension–scanning electron microscopy data collected on a device correlated metrology hybrid target or by electrical testing. Additionally, reference metrology can also be used to verify which measurement conditions are the most accurate. We provide an example of such a case.


Proceedings of SPIE | 2014

Innovative fast technique for overlay accuracy estimation using archer self calibration (ASC)

Simon C. C. Hsu; Charlie Chen; Chun Chi Yu; Yuan Chi Pai; Eran Amit; Lipkong Yap; Tal Itzkovich; David Tien; Eros Huang; Kelly T. L. Kuo; Nuriel Amir

As overlay margins shrink for advanced process nodes, a key overlay metrology challenge is finding the measurement conditions which optimize the yield for every device and layer. Ideally, this setup should be found in-line during the lithography measurements step. Moreover, the overlay measurement must have excellent correlation to the device electrical behavior. This requirement makes the measurement conditions selection even more challenging since it requires information about the response of both the metrology target and device to different process variations. In this work a comprehensive solution for overlay metrology accuracy, used by UMC, is described. This solution ranks the different measurement setups by their accuracy, using Qmerit, as reported by the Archer 500. This ranking was verified to match device overlay using electrical tests. Moreover, the use of Archer Self Calibration (ASC) allows further improvement of overlay measurement accuracy.


Metrology, Inspection, and Process Control for Microlithography XXXII | 2018

Spectral tunability for accuracy, robustness, and resilience

Einat Peled; Eran Amit; Yuval Lamhot; Alexander Svizher; Dana Klein; Anat Marchelli; Roie Volkovich; Tal Yaziv; Aaron Cheng; Honggoo Lee; Sangjun Han; Minhyung Hong; Seungyoung Kim; Jieun Lee; DongYoung Lee; Eungryong Oh; Ahlin Choi; Dongsub Choi; Dohwa Lee; Sanghuck Jeon; Jungtae Lee; Seongjae Lee; Zephyr Liu; Jeongpyo Lee; John C. Robinson

In overlay (OVL) metrology the quality of measurements and the resulting reported values depend heavily on the measurement setup used. For example, in scatterometry OVL (SCOL) metrology a specific target may be measured with multiple illumination setups, including several apodization options, two possible laser polarizations, and multiple possible laser wavelengths. Not all possible setups are suitable for the metrology method as different setups can yield significantly different performance in terms of the accuracy and robustness of the reported OVL values. Finding an optimal measurement setup requires great flexibility in measurement, to allow for high-resolution landscape mapping (mapping the dependence of OVL, other metrics, and details of pupil images on measurement setup). This can then be followed by a method for analyzing the landscape and selecting an accurate and robust measurement setup. The selection of an optimal measurement setup is complicated by the sensitivity of metrology to variations in the fabrication process (process variations) such as variations in layer thickness or in the properties of target symmetry. The metrology landscape changes with process variations and maintaining optimal performance might require continuous adjustments of the measurement setup. Here we present a method for the selection and adjustment of an optimal measurement setup. First, the landscape is measured and analyzed to calculate theory-based accurate OVL values as well as quality metrics which depend on details of the pupil image. These OVL values and metrics are then used as an internal ruler (“self-reference”), effectively eliminating the need for an external reference such as CD-SEM. Finally, an optimal measurement setup is selected by choosing a setup which yields the same OVL values as the self-reference and is also robust to small changes in the landscape. We present measurements which show how a SCOL landscape changes within wafer, wafer to wafer, and lot to lot with intentionally designed process variations between. In this case the process variations cause large shifts in the SCOL landscape and it is not possible to find a common optimal measurement setup for all wafers. To deal with such process variations we adjust the measurement setup as needed. Initially an optimal setup is chosen based on the first wafer. For subsequent wafers the process stability is continuously monitored. Once large process variations are detected the landscape information is used for selecting a new measurement setup, thereby maintaining optimal accuracy and robustness. Methods described in this work are enabled by the ATL (Accurate Tunable Laser) scatterometry-based overlay metrology system.


Proceedings of SPIE | 2015

Qmerit-calibrated overlay to improve overlay accuracy and device performance

Zakir Ullah; Mohamed Fazly Mohamed Jazim; Stella Sim; Alan Lim; Biow Hiem; Lieu Chia Chuen; Jesline Ang; Ek Chow Lim; Dana Klein; Eran Amit; Roie Volkovitch; David Tien; Dongsub Choi

In advanced semiconductor industries, the overlay error budget is getting tighter due to shrinkage in technology. To fulfill the tighter overlay requirements, gaining every nanometer of improved overlay is very important in order to accelerate yield in high-volume manufacturing (HVM) fabs. To meet the stringent overlay requirements and to overcome other unforeseen situations, it is becoming critical to eliminate the smallest imperfections in the metrology targets used for overlay metrology. For standard cases, the overlay metrology recipe is selected based on total measurement uncertainty (TMU). However, under certain circumstances, inaccuracy due to target imperfections can become the dominant contributor to the metrology uncertainty and cannot be detected and quantified by the standard TMU. For optical-based overlay (OBO) metrology targets, mark asymmetry is a common issue which can cause measurement inaccuracy, and it is not captured by standard TMU. In this paper, a new calibration method, Archer Self-Calibration (ASC), has been established successfully in HVM fabs to improve overlay accuracy on image-based overlay (IBO) metrology targets. Additionally, a new color selection methodology has been developed for the overlay metrology recipe as part of this calibration method. In this study, Qmerit-calibrated data has been used for run-to-run control loop at multiple devices. This study shows that color filter can be chosen more precisely with the help of Qmerit data. Overlay stability improved by 10~20% with best color selection, without causing any negative impact to the products. Residual error, as well as overlay mean plus 3-sigma, showed an improvement of up to 20% when Qmerit-calibrated data was used. A 30% improvement was seen in certain electrical data associated with tested process layers.


Proceedings of SPIE | 2014

Innovative techniques for improving overlay accuracy by using DCM (device correlated metrology) targets as reference

Wei-Jhe Tzai; Simon C. C. Hsu; Howard Chen; Charlie Chen; Yuan Chi Pai; Chun-Chi Yu; Chia Ching Lin; Tal Itzkovich; Lipkong Yap; Eran Amit; David Tien; Eros Huang; Kelly T. L. Kuo; Nuriel Amir

Overlay metrology performance as Total Measurement Uncertainty (TMU), design rule compatibility, device correlation and measurement accuracy are been challenged at 2x nm node and below. Process impact on overlay metrology becoming critical, and techniques to improve measurement accuracy becomes increasingly important. In this paper, we present an innovative methodology for improving overlay accuracy. A propriety quality metric, Qmerit, is used to identify overlay metrology measurement settings with least process impacts and reliable accuracies. Using the quality metric, an innovative calibration method, ASC (Archer Self Calibration) is then used to remove the inaccuracies. Accuracy validation can be achieved by correlation to reference overlay data from another independent metrology source such as CDSEM data collected on DCM (Device Correlated Metrology) hybrid target or electrical testing. Additionally, reference metrology can also be used to verify which measurement conditions are the most accurate. In this paper we bring an example of such use case.


Archive | 2012

METHOD AND SYSTEM FOR PROVIDING A QUALITY METRIC FOR IMPROVED PROCESS CONTROL

Daniel Kandel; Guy Cohen; Dana Klein; Vladimir Levinski; Noam Sapiens; Alex Shulman; Vladimir Kamenetsky; Eran Amit; Irina Vakshtein

Collaboration


Dive into the Eran Amit's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge