Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where David E. Noga is active.

Publication


Featured researches published by David E. Noga.


Biomacromolecules | 2008

Synthesis and modification of functional poly(lactide) copolymers: toward biofunctional materials.

David E. Noga; Timothy A. Petrie; Anjli Kumar; Marcus Weck; Andrés J. García; David M. Collard

A polylactide copolymer with pendant benzyloxy groups has been synthesized by the copolymerization of a benzyl-ether substituted monomer with lactide. Debenzylation of the polymer to provide pendant hydroxyl groups followed by modification with succinic anhydride affords the corresponding carboxylic acid functionalized copolymer that is amenable to standard carbodiimide coupling conditions to attach amine-containing biological molecules. An amino-substituted biotin derivative was coupled to the carboxyl functional groups of copolymer films as proof-of-concept. In a demonstration of the function of these new materials, an RGD-containing peptide sequence was tethered to copolymer films at various densities and was shown to enhance the adhesion of epithelial cells. This strategy provides the opportunity for the attachment of a variety of ligands, allowing for the fabrication of a versatile class of biodegradable, biocompatible materials.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2010

Comparison of positive tone versus negative tone resist pattern collapse behaviora)

Wei-Ming Yeh; David E. Noga; Richard A. Lawson; Laren M. Tolbert; Clifford L. Henderson

In this work, e-beam lithography patterns have been specifically designed and fabricated which provide the opportunity to probe the collapse behavior of both positive and negative tone systems. The pattern layout includes adjacent parallel line structures that both vary in the line size and also in the distance by which they are separated by the space between them. This type of structure allows for the control and modulation of the capillary forces, and ultimately the stresses, experienced by the photoresist line pairs during the final rinse and drying steps of the development process. Using such structures, it is possible to determine the critical stress, i.e., the maximum stress experienced by the photoresist lines before collapse, as a function of a variety of parameters including: material type, substrate preparation conditions, resist film thickness, and resist feature width. In this article, such a modular approach has been used to compare the pattern collapse behavior of a prototypical positive ton...


Journal of Vacuum Science & Technology B | 2009

Negative tone molecular resists using cationic polymerization: Comparison of epoxide and oxetane functional groups

Richard A. Lawson; David E. Noga; Todd R. Younkin; Laren M. Tolbert; Clifford L. Henderson

Two molecular resists with a common molecular glass core were synthesized and characterized to compare the differences between epoxide (oxirane) and oxetane functional groups for use in high resolution negative tone molecular resists. Both resists are able to obtain at least 50nm half-pitch at a sensitivity of 75μC∕cm2 under 100keV electron-beam lithography. Due to differences in the kinetics of the cationic polymerization of epoxides as compared to oxetanes, the epoxide functionalized resist (2-Ep) was able to obtain sub-25-nm half-pitch resolution with good line edge roughness (LER) of 2.9nm (3σ) while the oxetane resist (2-Ox) was limited to 50nm half-pitch resolution and exhibited higher LER (3σ) of 10.0nm. The polymerization of the oxetane functional group has slow initiation and fast propagation which leads to reduced performance in 2-Ox as compared to 2-Ep. While oxetane functionalized molecular resists can obtain reasonably good imaging performance, epoxide functional groups show more promise for ...


Proceedings of SPIE | 2009

Understanding pattern collapse in high-resolution lithography: impact of feature width on critical stress

David E. Noga; Richard A. Lawson; Cheng-Tsung Lee; Laren M. Tolbert; Clifford L. Henderson

Chemically amplified resists have served as high resolution and high photospeed patterning materials in the fabrication of modern microelectronic devices for more than two decades. A significant amount of research during that time, and in particular more recently, has focused on minimizing line width roughness and on improving the achievable resolution and sensitivity of resist materials. While these lithographic parameters are certainly important, the distortion of the resist pattern during wet processing and subsequent drying can have significant negative impacts on performance and is often relatively overlooked as a major resist resolution and performance limiter. Resist pattern distortion after development and during drying is mainly due to the unbalanced capillary forces created due to pattern asymmetries which give rise to variations in liquid meniscus radii of curvature as the final rinse liquid is dried from the pattern. These capillary forces are dependent upon the surface tension of the final rinsing solvent, the contact angle of the rinse liquid with the side wall of the resist line, and the pattern space widths and sidewall angles. The demand for resist films with smaller feature sizes has led to a reduction in resist pattern dimensions resulting in overall poor mechanical strength and a decrease in the adhesion forces at the resist line/substrate interface. In this work, the pattern collapse behavior of a hydroxystyrene-based resist copolymer is studied. Ultra-thin film effects and the role of the feature width of the resist line on pattern collapse are also investigated.


Proceedings of SPIE | 2010

Methods to explore and prevent pattern collapse in thin film lithography

David E. Noga; Wei-Ming Yeh; Richard A. Lawson; Laren M. Tolbert; Clifford L. Henderson

Pattern collapse is becoming a critical issue as integrated circuit fabrication continues to advance towards the 32 nm node and below. Though line edge roughness and resolution are certainly important in moving forward, pattern collapse by both deformation and adhesion failure must be addressed. In this work, a post-development strategy to reduce pattern collapse by bending was developed whereby the hydroxyl functional groups on the surface of the resist were crosslinked via a dicarboxylic acid using carbodiimide chemistry. The pattern collapse of a hydroxystyrene-based, positive tone resist was then studied before and after the application of the reactive rinse. SEM analysis of the samples showed that application of the reactive rinse resulted in a clear increase in the printing capabilities of the resist, as the photoresist lines could be printed with smaller space widths corresponding to higher stresses after the rinse treatment.


Journal of Micro-nanolithography Mems and Moems | 2009

Nonionic photoacid generator behavior under high-energy exposure sources

Richard A. Lawson; David E. Noga; Laren M. Tolbert; Clifford L. Henderson

Abstract. Aseries of nonionic photoacid generators PAGs are synthe-sized and their acid generation efficiency measured under deep ultravio-let DUV and electron beam exposures. The acid generation efficiencyis determined with an on-wafer method that uses spectroscopic ellipsom-etry to measure the absorbance of an acid sensitive dye Coumarin 6 .Under DUV exposures, common ionic onium salt PAGs show excellentphotoacid generation efficiency, superior to most nonionic PAGs testedin this work. In contrast, when under 100-keV high energy e-beam ex-posures, almost all of the nonionic PAGs show significantly better acidgeneration performance than the ionic onium salt PAGs tested. In par-ticular, one nonionic PAG shows almost an order of magnitude improve-ment in the Dill C acid generation rate constant compared to a triarylsul-fonium PAG. The high energy acid generation efficiency is found tocorrelate well with the electron affinity of the PAGs, suggesting that im-provements in PAG design can be predicted. Nonionic PAGs merit fur-ther investigation as a means for producing higher sensitivity resists un-der high energy exposure sources.


Proceedings of SPIE | 2010

Thin film buckling as a method to explore the effect of reactive rinse treatments on the mechanical properties of resist thin films

Wei-Ming Yeh; David E. Noga; Richard A. Lawson; Laren M. Tolbert; Clifford L. Henderson

As integrated circuit fabrication continues to advance towards the 32 nm node and below, it has become increasingly apparent that the use of ultrathin films and polymer features will be required. Though it has been widely accepted that the properties of polymers on the nanoscale can differ significantly from their bulk counterparts, the extent of such deviation is the subject of much debate and concern. Furthermore, most studies have focused on elucidating the differences in the thermal properties of micro- and nano-scale polymer films as determining the mechanical properties of ultrathin films can be somewhat cumbersome. In order to study the modulus of polymer thin films we have implemented a thin film buckling technique wherein a polymer film is floated onto a pre-strained PDMS substrate. Release of the strain, results in the buckling of the polymer film and provides the opportunity to accurately determine the modulus of polymer thin films with thicknesses down to 20 nm. This thin film buckling strategy was also used to probe the effect of thickness on the modulus of the ESCAP-1 thin films. Finally, a reactive rinse method was employed whereby the hydroxyl functional groups of the resist were cross-linked via a dicarboxylic acid using carbodiimide chemistry as a potential method to ultimately enhance lithographic patterning performance. The effect of the reactive rinse on the modulus of the ESCAP-1 thin films was analyzed and it was found that the application of the reactive rinse resulted in a clear increase in the modulus of the polymer films. Also, quartz crystal microbalance (QCM) supporting the confinement of the crosslinking agents to the surface will be discussed.


Proceedings of SPIE | 2010

Aqueous and solvent developed negative-tone molecular resists

Richard A. Lawson; Jing Cheng; David E. Noga; Todd R. Younkin; Laren M. Tolbert; Clifford L. Henderson

A series of negative tone molecular resists was investigated for use in both organic solvent and aqueous base development. Molecular resists designed purely for solvent development showed half-pitch resolution down to 25 nm with sensitivities of 50 μC/cm2 and LER (3σ) down to 2.3 nm. Aqueous developable designs that used epoxide functionalized molecules that are intrinsically water soluble showed improved contrast and comparable sensitivity, but suffered from significant dewetting during baking due to their low molecular weight and high polarity. This inability to form high quality films prevented their use as high resolution resists. Aqueous developable designs that used molecules with both cross-linking and base solubilizing groups were also investigated; the initial example of this design is DPA- 2Ep, a molecular resist containing two epoxides and one carboxylic acid per molecule. It formed high quality films and showed improved contrast compared to the purely solvent developed designs. Even after complete cross-linking of the epoxide groups, several free carboxylic acids still remained in the network. These free acids tend to imbibe developer and appear to retain the tetramethylammonium carboxylates even after rinsing and drying the film. This imbibing of developer leads to significant failure during high resolution patterning due to swelling.


Proceedings of SPIE | 2010

Non-traditional resist designs using molecular resists: positive tone cross-linked and non-chemically amplified molecular resists

Richard A. Lawson; David E. Noga; Jing Cheng; Laren M. Tolbert; Clifford L. Henderson

Two different types of non-traditional molecular resists were synthesized and characterized. A positive-tone cross-linked molecular resist was made that functions by first forming an etch resistant film via thermal cross-linking of vinyl ether functionalized small molecules followed by patterning of the film via acid catalyzed cleavage of the resulting acetal bonds. DPA-2VE, a single multi-functional molecular resist of this type, showed DUV sensitivity of 7 mJ/cm2 and a contrast of 5.2 for development in either organic solvent or aqueous base. Using high resolution patterning with a 100 keV e-beam, it was possible to demonstrate feature resolutions down to 40 nm. When 0.26N TMAH was used as a developer, the dose-to-size was 84 μC/cm2 with a 3σ LER of 14.2 nm. Using MIBK as a developer, the dose-to-size was 104 μC/cm2 and the 3σ LER was 7.4 nm. A series of non-chemically amplified molecular resists based on using 2- nitrobenzyl ethers as photosensitive protecting groups were also made. One formulation showed a DUV sensitivity of 1 mJ/cm2, while another formulation which showed the best contrast of 8.3 obtained at a sensitivity of 10 mJ/cm2. However, under 100 keV e-beam patterning, the 2-nitrobenzyl ether protected materials showed little to no response even up to 3000 μC/cm2.


Proceedings of SPIE | 2010

The use of surface modifiers to mitigate pattern collapse in thin film lithography

David E. Noga; Wei-Ming Yeh; Richard A. Lawson; Laren M. Tolbert; Clifford L. Henderson

It has become clear that although line edge roughness and resolution are important for future lithographic technology nodes, other issues such as pattern collapse must be addressed as well. One of the primary modes of pattern collapse is pattern collapse caused by loss of adhesion of the resist from the substrate. The main forces which govern pattern collapse by adhesion failure are related to substrate/resist interactions. Though several methodologies to improve pattern collapse have been investigated, such as the use of surfactants during the final rinse, the use of such methods virtually all suffer from some serious drawback. To this end, we have developed a reactive surface modifier capable of covalently attaching to a positive tone resists containing hydroxystyrene groups. A vinyl-ether-modified silane was prepared and effectively applied using a solution silanization reaction. A hydroxystyrene-based positive tone copolymer resist was applied and subjected to a post apply bake to allow sufficient time for reaction with the surface modifier to occur prior to patterning using e-beam lithography. Ultimately, it was determined that covalent attachment of the surface modifier to the photoresist during the post apply bake resulted in enhanced resist/substrate adhesion of photoresist lines as evidenced by improved pattern collapse performance in high resolution imaging experiments.

Collaboration


Dive into the David E. Noga's collaboration.

Top Co-Authors

Avatar

Clifford L. Henderson

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Laren M. Tolbert

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Richard A. Lawson

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Andrés J. García

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

David M. Collard

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Wei-Ming Yeh

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Anjli Kumar

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Jing Cheng

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Timothy A. Petrie

Georgia Institute of Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge