Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Wei-Ming Yeh is active.

Publication


Featured researches published by Wei-Ming Yeh.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2010

Comparison of positive tone versus negative tone resist pattern collapse behaviora)

Wei-Ming Yeh; David E. Noga; Richard A. Lawson; Laren M. Tolbert; Clifford L. Henderson

In this work, e-beam lithography patterns have been specifically designed and fabricated which provide the opportunity to probe the collapse behavior of both positive and negative tone systems. The pattern layout includes adjacent parallel line structures that both vary in the line size and also in the distance by which they are separated by the space between them. This type of structure allows for the control and modulation of the capillary forces, and ultimately the stresses, experienced by the photoresist line pairs during the final rinse and drying steps of the development process. Using such structures, it is possible to determine the critical stress, i.e., the maximum stress experienced by the photoresist lines before collapse, as a function of a variety of parameters including: material type, substrate preparation conditions, resist film thickness, and resist feature width. In this article, such a modular approach has been used to compare the pattern collapse behavior of a prototypical positive ton...


Proceedings of SPIE | 2011

Developing directly photodefinable substrate guiding layers for block copolymer directed self-assembly (DSA) patterning

Jing Cheng; Richard A. Lawson; Wei-Ming Yeh; Laren M. Tolbert; Clifford L. Henderson

Directed self-assembly (DSA) of block copolymers has gained significant attention in recent years as a possible alternative for large area fabrication of future sub-30 nm lithographic patterns. To achieve this patterning, at least three critical pieces are needed: (1) a block copolymer with sufficient immiscibility of the two blocks to drive phase separation at the low molecular weights required to achieve such small phase domains, (2) a method for selectively removing one of the blocks after phase separation to achieve formation of a relief pattern, and (3) a method for producing the templated surfaces used to guide and register the phase separated patterns on the substrate of interest. Current methods for achieving the patterned substrate template, whether they are of chemoepitaxial or graphoepitaxial nature, are generally complex involving a large number of steps that are not easily applied to a variety of different substrate surfaces. For example, numerous substrates have been studied to provide neutral wettability to the styrene-methacrylate (PS-b- PMMA) block copolymers, such as random styrene-methacrylate copolymer films (PS-r-PMMA) or self-assembled monolayer (SAM) modified surfaces, which induce perpendicularly oriented morphologies for PS-b-PMMA self-assembly. In the case of chemical epitaxy processes, a layer of photoresist is generally then coated on such neutral substrate films and patterned to render commensurability to the periodicity of the PS-b-PMMA being used. The open (i.e. space) regions in the resist are then exposed to alter their chemistry, e.g. soft X-ray or oxygen plasma exposures have been used, to achieve hydrophilicity which should preferentially wet PMMA. Finally, the resist is stripped and the block copolymer is coated and assembled on the template surface. Obviously such multi-step processes would not be preferred if alternatives existed. As a step toward that goal of making DSA processes simpler, a photodefinable substrate film that can be used for PS-b-PMMA self-assembly has been developed in this work that is coated, exposed, and baked in a manner analogous to current optical photoresists. The polymer resin for this material is a form of protected poly(hydroxystyrene) that is cross-linkable. The new material is suitable for DSA processes using both solvent and thermal annealing methods. Results of PS-b-PMMA DSA on this new material will be discussed.


Journal of Vacuum Science & Technology B | 2009

Bond contribution model for the prediction of glass transition temperature in polyphenol molecular glass resists

Richard A. Lawson; Wei-Ming Yeh; Clifford L. Henderson

Molecular glass resists have shown potential as replacements for polymeric resists in next generation lithography, especially extreme ultraviolet lithography. One of the main concerns about molecular resists is their glass transition temperature (Tg) which can be very low in some cases due to their small molecular size and other factors. While most of the polymeric chemically amplified resist platforms used thus far have Tg’s above 100°C, molecular resists investigated in the literature so far have shown a wide range of measured Tg’s from near room temperature to greater than 160°C. This potential for low Tg values and the current lack of ability to easily predict their Tg is a concern when designing new compounds because a molecular resist may be synthesized with a Tg value that is too low for the required processing conditions (e.g., allowing for dewetting of the resist, flow of the resist features, or excessive photoacid diffusion). To enable rational molecular resist design and overcome these problems...


Proceedings of SPIE | 2010

Methods to explore and prevent pattern collapse in thin film lithography

David E. Noga; Wei-Ming Yeh; Richard A. Lawson; Laren M. Tolbert; Clifford L. Henderson

Pattern collapse is becoming a critical issue as integrated circuit fabrication continues to advance towards the 32 nm node and below. Though line edge roughness and resolution are certainly important in moving forward, pattern collapse by both deformation and adhesion failure must be addressed. In this work, a post-development strategy to reduce pattern collapse by bending was developed whereby the hydroxyl functional groups on the surface of the resist were crosslinked via a dicarboxylic acid using carbodiimide chemistry. The pattern collapse of a hydroxystyrene-based, positive tone resist was then studied before and after the application of the reactive rinse. SEM analysis of the samples showed that application of the reactive rinse resulted in a clear increase in the printing capabilities of the resist, as the photoresist lines could be printed with smaller space widths corresponding to higher stresses after the rinse treatment.


Proceedings of SPIE | 2011

A comprehensive model and method for model parameterization for predicting pattern collapse behavior in photoresist nanostructures

Wei-Ming Yeh; Richard A. Lawson; Clifford L. Henderson

Pattern collapse has become an issue of increasing importance in semiconductor lithography as the size of critical features continues to shrink. Although models have been proposed to explain the observed pattern collapse behavior, the ability of such models to quantitatively predict the collapse behavior has been limited without significant model fitting to experimental pattern collapse behavior. Such a need to collect extensive collapse data before these models can provide any predictive capability limits their use and in general does not provide further insight into the underlying root causes of the observed behavior in many cases. This is particularly true at small feature sizes for resist lines smaller than approximately 70 nm in width. In this work, a comprehensive pattern collapse model that accounts for both adhesion based pattern failure and elastoplastic deformation-based failure is used. Furthermore, the required model parameters are extracted from basic experiments on the resist materials and substrates themselves without the need for actual patterning experiments. For example, the resist mechanical modulus behavior is determined from simple thin film buckling experiments. The results of these simple tests are quantitatively predictive pattern collapse models for a particular resist-substrate combination that capture complex effects such as the dependence of the collapse behavior on resist film thickness and feature size due to feature size dependent polymer modulus behavior. Application of these models and experimental methods to an experimental resist and comparisons of the model predictions versus actual experimental pattern collapse data are shown and discussed to validate the methodology.


Proceedings of SPIE | 2010

Thin film buckling as a method to explore the effect of reactive rinse treatments on the mechanical properties of resist thin films

Wei-Ming Yeh; David E. Noga; Richard A. Lawson; Laren M. Tolbert; Clifford L. Henderson

As integrated circuit fabrication continues to advance towards the 32 nm node and below, it has become increasingly apparent that the use of ultrathin films and polymer features will be required. Though it has been widely accepted that the properties of polymers on the nanoscale can differ significantly from their bulk counterparts, the extent of such deviation is the subject of much debate and concern. Furthermore, most studies have focused on elucidating the differences in the thermal properties of micro- and nano-scale polymer films as determining the mechanical properties of ultrathin films can be somewhat cumbersome. In order to study the modulus of polymer thin films we have implemented a thin film buckling technique wherein a polymer film is floated onto a pre-strained PDMS substrate. Release of the strain, results in the buckling of the polymer film and provides the opportunity to accurately determine the modulus of polymer thin films with thicknesses down to 20 nm. This thin film buckling strategy was also used to probe the effect of thickness on the modulus of the ESCAP-1 thin films. Finally, a reactive rinse method was employed whereby the hydroxyl functional groups of the resist were cross-linked via a dicarboxylic acid using carbodiimide chemistry as a potential method to ultimately enhance lithographic patterning performance. The effect of the reactive rinse on the modulus of the ESCAP-1 thin films was analyzed and it was found that the application of the reactive rinse resulted in a clear increase in the modulus of the polymer films. Also, quartz crystal microbalance (QCM) supporting the confinement of the crosslinking agents to the surface will be discussed.


Proceedings of SPIE | 2011

A study of reactive adhesion promoters and their ability to mitigate pattern collapse in thin film lithography

Wei-Ming Yeh; Richard A. Lawson; Laren M. Tolbert; Clifford L. Henderson

As integrated circuit fabrication continues to advance towards the 22 nm node and below, it has become clear that although line edge roughness and resolution are important, other issues such as pattern collapse must be addressed in order for technology to continue to progress. One of the primary modes of pattern collapse at small feature sizes is adhesion failure caused by loss of adhesion of the resist to the substrate during the drying process. The main forces which govern pattern collapse by adhesion failure are related to substrate/resist interactions. Significant research has been conducted to find methods for reducing capillary forces, such as use of surfactants in rinses, to reduce pattern collapse. However, the use of spin drying has also been observed to exhibit other collapse related effects that are not sensitive to such treatments. To this end, in this work a reactive adhesion promoter capable of covalently attaching to hydroxystyrene-based positive tone resist copolymers has been developed and demonstrated. A vinyl-ether-modified silane was prepared and effectively applied using a solution silanization reaction. A model hydroxystyrene-based positive tone resist was applied and subjected to post apply bake to cause reaction of the surface modifier with the photoresist to occur prior to patterning using e-beam lithography. Contact angle studies and ellipsometry were used to characterize the surface silanization reaction. Pattern collapse test structures were fabricated and analyzed after development and drying on the different surfaces to quantify the impact of the use of the covalent surface linker and compare it to more standard adhesion promoter processes such as those utilizing hexamethyldilazane (HMDS). The effect of soft bake condition on the performance of the reactive adhesion promoter has also been studied. Ultimately, the results of critical stress analysis and SEM studies of the resulting patterns confirm that use of surface priming agents that covalently attach the resist to the substrate can significantly enhance resist-substrate adhesion and dramatically reduce pattern collapse.


Proceedings of SPIE | 2010

The use of surface modifiers to mitigate pattern collapse in thin film lithography

David E. Noga; Wei-Ming Yeh; Richard A. Lawson; Laren M. Tolbert; Clifford L. Henderson

It has become clear that although line edge roughness and resolution are important for future lithographic technology nodes, other issues such as pattern collapse must be addressed as well. One of the primary modes of pattern collapse is pattern collapse caused by loss of adhesion of the resist from the substrate. The main forces which govern pattern collapse by adhesion failure are related to substrate/resist interactions. Though several methodologies to improve pattern collapse have been investigated, such as the use of surfactants during the final rinse, the use of such methods virtually all suffer from some serious drawback. To this end, we have developed a reactive surface modifier capable of covalently attaching to a positive tone resists containing hydroxystyrene groups. A vinyl-ether-modified silane was prepared and effectively applied using a solution silanization reaction. A hydroxystyrene-based positive tone copolymer resist was applied and subjected to a post apply bake to allow sufficient time for reaction with the surface modifier to occur prior to patterning using e-beam lithography. Ultimately, it was determined that covalent attachment of the surface modifier to the photoresist during the post apply bake resulted in enhanced resist/substrate adhesion of photoresist lines as evidenced by improved pattern collapse performance in high resolution imaging experiments.


Proceedings of SPIE | 2013

PS-b-PHOST as a high χ block copolymers for directed self assembly: optimization of underlayer and solvent anneal processes

Nathan D. Jarnagin; Wei-Ming Yeh; Jing Cheng; Andrew J. Peters; Richard A. Lawson; Laren M. Tolbert; Clifford L. Henderson

Directed self-assembly (DSA) of block copolymers (BCP) could enable high resolution patterning beyond the capabilities of current optical lithography methods via pitch multiplication from lower resolution primary lithographic patterns. For example, DSA could enable dense feature production with pitches less than 80 nm from patterns generated using 193 nm exposure tools without the need for double patterning or other schemes. According to theory, microphase separation of diblock copolymers occurs when the critical condition that χ N >10.5 is met while the pitch of the resulting polymer features scale as ~N 2/3 , where χ is the Flory Huggins interaction parameter and N is the total degree of polymerization for the diblock copolymer. In order to generate patterns with smaller pitches, N must be decreased while maintaining a χ N >10.5 to allow for phase separation. This requires utilization of polymers with higher χ values as N is decreased. Current materials, such as PS-b-PMMA, exhibit a relatively low χ value of ~0.04, which limits the practical pitch of DSA line-space patterns produced using PS-b-PMMA to approximately 20 nm. In this paper, we investigate alternative materials, namely poly(styrene)-b-poly(hydroxystyrene) (PS-b-PHOST), which exhibits a high χ value via hydrogen bonding interactions that can allow for production of sub-20nm pitch DSA patterns. In order to utilize any diblock copolymer for DSA, a neutral underlayer and a method for annealing the block copolymer are required. Here, a random copolymer, poly(styrene-co-hydroxystyrene-co-glycidyl methacrylate), is developed and reported for use as a neutral underlayer for PS-b-PHOST. Furthermore, a solvent annealing method for PS-b-PHOST is developed and optimized using ethyl acetate to allow for uniform microphase separation of PS-b-PHOST.


Proceedings of SPIE | 2013

PS-b-PHEMA: synthesis, characterization, and processing of a high χ polymer for directed self-assembly lithography

Jing Cheng; Richard A. Lawson; Wei-Ming Yeh; Nathan D. Jarnagin; Laren M. Tolbert; Clifford L. Henderson

As an alternative lithography technique, directed self-assembly (DSA) of block copolymers has shown to be promising for next generation high resolution patterning. PS-b-PMMA has been widely studied for its use as a block copolymer in directed self-assembly and has demonstrated patterned features down to size scales on the order of 20 nm pitch. However, due to the modest χ value for PS-b-PMMA (χ=0.038), this 20 nm feature pitch representes roughly the limiting capability of PS-b-PMMA. To achieve smaller pitch features, new block copolymers with higher χ values must be developed for use in DSA lithography. Here, poly(styrene)-b-poly(hydroxyehtylmethacrylate) or PS-b-PHEMS is introduced as one possible such high χ polymer. PS-b-PHEMA with controlled Mw and PDI was successfully synthesized via ATRP and fully characterized by NMR, GPC and FTIR. As a first demonstration of sub-20 nm pitch capability in PS-b-PHEMA, a 15 nm pitch size lamella structure in PS-b-PHEMA is shown. PS-b-PHEMA has good thermal stability, allowing it to be rapidly annealed thermally. PS-b-PHEMA also is shown to have improved etch contrast between the two blocks as compared to PS-b-PMMA. The χ value for PS-b-PHEMA is estimated to be 0.37 based on experimental pitch scaling studies, which is almost 10 times of the χ value for PS-b-PMMA.

Collaboration


Dive into the Wei-Ming Yeh's collaboration.

Top Co-Authors

Avatar

Clifford L. Henderson

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Richard A. Lawson

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Laren M. Tolbert

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

David E. Noga

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Jing Cheng

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Nathan D. Jarnagin

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Andrew J. Peters

Georgia Institute of Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge