Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Dong-Suk Shin is active.

Publication


Featured researches published by Dong-Suk Shin.


international electron devices meeting | 2005

High performance 5nm radius Twin Silicon Nanowire MOSFET (TSNWFET) : fabrication on bulk si wafer, characteristics, and reliability

Sung Dae Suk; Sung-young Lee; Sung-Min Kim; Eun-Jung Yoon; Min-Sang Kim; Ming Li; Chang Woo Oh; Kyoung Hwan Yeo; Sung Hwan Kim; Dong-Suk Shin; Kwanheum Lee; Heung Sik Park; Jeorig Nam Han; Choon-Sang Park; Jong-Bong Park; Dong-Won Kim; Donggun Park; Byung-Il Ryu

For the first time, we have successfully fabricated gate-all-around twin silicon nanowire transistor (TSNWFET) on bulk Si wafer using self-aligned damascene-gate process. With 10nm diameter nanowire, saturation currents through twin nanowires of 2.64 mA/mum, 1.11 mA/mum for n-channel TSNWFET and p-channel TSNWFET are obtained, respectively. No roll-off of threshold voltages, ~70 mV/dec. of substhreshold swing (SS), and ~20 mV/V of drain induced barrier lowering(DIBL) down to 30 nm gate length are observed for both n-ch and p-ch TSNWFETs


international electron devices meeting | 2005

Negative bias temperature instability of carrier-transport enhanced pMOSFET with performance boosters

Hwa Sung Rhee; Ho Lee; Tetsuji Ueno; Dong-Suk Shin; Seung-Hwan Lee; Yihwan Kim; Arkadii V. Samoilov; Per-Ove Hansson; Min Kim; Hyong Soo Kim; Nae-In Lee

The effects of mobility boosters such as straining technologies and modified transport direction emerging for 65 nm pFET and beyond on negative bias temperature instability (NBTI) have been investigated. Although compressive silicon nitride film as contact etch stopper layer (CESL) increases the device performance of pFET, NBTI is degraded by excessive hydrogen from CESL depending on gate length and active width. In addition, induced mechanical strain in gate oxide plays an important role in NBTI degradation behavior. From NBTI on <100> p-channel transistor, it is found that NBTI is not influenced by channel direction and mobility change, but degraded by hydrogen incorporated CESL. Recessed SiGe source/drain (S/D) for high-performance pFET gives more resistant nature against NBTI degradation by elevated S/D structure even with compressive CESL containing high amount of hydrogen. The combination among performance booster for targeting device should be carefully balanced by considering performance gain and reliability


symposium on vlsi technology | 2005

Sub-25nm single-metal gate CMOS multi-bridge-channel MOSFET (MBCFET) for high performance and low power application

Sung-young Lee; Eun-Jung Yoon; Dong-Suk Shin; Sung-Min Kim; Sung-dae Suk; Min-Sang Kim; Dong-Won Kim; Donggun Park; Kinam Kim; Byung-Il Ryu

Improving the MBCFET performance further, we have successfully fabricated single-metal-gate high-performance CMOS MBCFET with elevated flat source/drain (EF-S/D) formed by low temperature cyclic selective epitaxial growth (LTC-SEG) of Si. Due to the S/D engineering and LTC-SEG process, we could achieved the symmetric threshold voltage of 0.25V and -0.22V for TiN-gate n-channel MBCFET (nMBCFET) and p-channel MBCFET (pMBCFET), respectively. This single-metal MBCFET simultaneously satisfied the requirements of high-performance (HP) and low operating power (LOP) transistors in ITRS roadmap.


symposium on vlsi technology | 2005

Dramatically enhanced performance of recessed SiGe source-drain PMOS by in-situ etch and regrowth technique (InSERT)

Tetsuji Ueno; Hwa Sung Rhee; Seung-Hwan Lee; Ho Lee; Dong-Suk Shin; Yun-Seung Jin; Shigenobu Maeda; Nae-In Lee

A novel mass-production-worthy in-situ etch and regrowth technique (InSERT) for recessed SiGe source-drain (SD) PMOS is introduced. The unique source drain extension (SDE) recess results in high drive current (ion) gains of 35 and 38% for shallow recess depths of 30 and 40nm, respectively, while keeping Vth and off leakage equal to those of control Si. InSERT provides three advantages that are higher ion, higher throughput, and no need for implant retuning when compared to the conventional ex-situ dry etch and regrowth technique which exhibits an ion current gain of 23%.


symposium on vlsi technology | 2016

Si FinFET based 10nm technology with multi Vt gate stack for low power and high performance applications

Hyunyoon Cho; H.S. Oh; Kab-jin Nam; Young Hoon Kim; Kyoung-hwan Yeo; Wang-Hyun Kim; Yong-Seok Chung; Y.S. Nam; Sung-Min Kim; Wookhyun Kwon; M.J. Kang; Il-Goo Kim; H. Fukutome; C.W. Jeong; Hyeon-Jin Shin; Yun-Hee Kim; Dong-Wook Kim; S.H. Park; Jae-Kyeong Jeong; S.B. Kim; Dae-Won Ha; J.H. Park; Hwa-Sung Rhee; Sang-Jin Hyun; Dong-Suk Shin; D. H. Kim; Hyoung-sub Kim; Shigenobu Maeda; K.H. Lee; M.C. Kim

10nm logic technology using Si FinFET is developed for low power and high performance applications. Power-speed gain of 27% compared to 14nm technology node was obtained using four key developments: 1) advanced gate stack engineering enabling 4 multi-Vt devices, 2) 3rd generation Fin technology, 3) highly doped source/drain (S/D), and 4) contact resistance optimization. CVD liner for BEOL process was also applied for better metal fill capability. Finally yield of the smallest ever SRAM with 0.04um2 SRAM bit-cell size was demonstrated.


international reliability physics symposium | 2014

Development of thermal neutron SER-resilient high-k/metal gate technology

Jongwoo Park; Gunrae Kim; Ming Zhang; Kyungsik Park; Miji Lee; Il-gon Kim; Jongsun Bae; Sangwoo Pae; Jinwoo Choi; Dong-Suk Shin; Nae-In Lee; Kee Sup Kim

We report the experimental procedure and data that establishes the correlation between natural boron (B10) concentration and thermal neutron soft error rate (SER) in an advanced 28nm high-k/metal gate (HK/MG) technology node. Thermal neutron induced singe event upsets (SEU) depend on the concentration of B10 in the contact process adopted for boosting SRAM performance. However, as technology rapidly evolves in terms of transistor feature size and overall design complexity, B10 concentration needs to decrease so as to reduce thermal neutron SER risk. Optimization of contact and eSiGe process can provide a technology profile that is robust against thermal and high energy neutron SER.


international electron devices meeting | 2004

Highly controllable cyclic selective epitaxial growth (CySEG) for 65nm CMOS technology and beyond

Seung-Hwan Lee; Dong-Suk Shin; Hwa Sung Rhee; Tetsuji Ueno; Ho Lee Moon Han Park; Nae-In Lee; Ho-Kyu Kang; Kwang-Pyuk Suh

A new novel raised source/drain (RSD) process by using cyclic selective epitaxial growth (CySEG) has been firstly proposed to enhance device performance for 65nm CMOSFETs and beyond. CySEG is effective in reducing the gate poly depletion effect by elevating only the source/drain region without the growth on top of the poly gate. The CySEG process is effectively combined with disposable spacer integration in order to reduce the SEG thermal budget for CMOS scaling. The disposable spacer process with CySEG dramatically enhance the drive current by 23% for pFET and restore the degraded current performance for nFET. The current performance of nFET was further improved by the RSD structure with channel width decrease. The RSD effect on releasing the compressive stress induced by shallow trench isolation (STI) might describe the opposite current performance tendency of scaled nFET.


ACS Applied Materials & Interfaces | 2017

Chemically Homogeneous and Thermally Robust Ni1–xPtxSi Film Formed Under a Non-Equilibrium Melting/Quenching Condition

Jin-Bum Kim; Seongheum Choi; Taejin Park; Jinyong Kim; Chul-Sung Kim; Taeho Cha; Hyangsook Lee; Eunha Lee; Jung Yeon Won; Hyung-Ik Lee; Sang-Jin Hyun; Sunjung Kim; Dong-Suk Shin; Yihwan Kim; Kee-Won Kwon; Hyoungsub Kim

To synthesize a thermally robust Ni1-xPtxSi film suitable for ultrashallow junctions in advanced metal-oxide-semiconductor field-effect transistors, we used a continuous laser beam to carry out millisecond annealing (MSA) on a preformed Ni-rich silicide film at a local surface temperature above 1000 °C while heating the substrate to initiate a phase transition. The melting and quenching process by this unique high-temperature MSA process formed a Ni1-xPtxSi film with homogeneous Pt distribution across the entire film thickness. After additional substantial thermal treatment up to 800 °C, the noble Ni1-xPtxSi film maintained a low-resistive phase without agglomeration and even exhibited interface flattening with the underlying Si substrate.


Archive | 2005

Transistor and method of manufacturing the same

Tetsuji Ueno; Hwa-Sung Rhee; Ho Lee; Dong-Suk Shin; Seung-Hwan Lee


Archive | 2005

Semiconductor device having a round-shaped nano-wire transistor channel and method of manufacturing same

Sung-young Lee; Dong-Suk Shin

Collaboration


Dive into the Dong-Suk Shin's collaboration.

Researchain Logo
Decentralizing Knowledge