Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where E. S. Lambers is active.

Publication


Featured researches published by E. S. Lambers.


Journal of Applied Physics | 2007

Functionalizing Zn- and O-terminated ZnO with thiols

P.W. Sadik; S. J. Pearton; David P. Norton; E. S. Lambers; F. Ren

We have investigated the adsorption of dodecanethiol on zinc- and oxygen-terminated ZnO surfaces. Strong enthalpic adsorption is demonstrated by the stability of sulfur on both ZnO surfaces for temperatures up to 400°C. The minimal presence of the S 2p3∕2 170eV peak suggests absorption of the sulfur as an unoxidized thiol. The results indicate a higher surface coverage of the thiol on the zinc-terminated surface. Evidence from reflection high energy electron diffraction measurements for the surface ordering after thiol treatment of the oxygen-terminated ZnO surface suggests that the dodecanethiol molecules can adsorb in a highly ordered manner. These results further open the possibility for biofunctionalization of ZnO for biosensing applications.


Journal of Vacuum Science and Technology | 1998

Inductively coupled plasma etching of bulk 6H-SiC and thin-film SiCN in NF3 chemistries

J. J. Wang; E. S. Lambers; S. J. Pearton; Mikael Östling; Carl-Mikael Zetterling; J. M. Grow; F. Ren; R. J. Shul

A parametric study of the etching characteristics of 6H p+ and n+ SiC and thin-film SiC0.5N0.5 in inductively coupled plasma (ICP) NF3/O2 and NF3/Ar discharges has been performed. The etch rates in both chemistries increase monotonically with NF3 percentage and rf chuck power. The etch rates go through a maximum with increasing ICP source power, which is explained by a trade-off between the increasing ion flux and the decreasing ion energy. The anisotropy of the etched features is also a function of ion flux, ion energy and atomic fluorine neutral concentration. Indium-tin-oxide masks display relatively good etch selectivity over SiC (maximum of ∌70:1), while photoresist etches more rapidly than SiC. The surface roughness of SiC is essentially independent of plasma composition for NF3/O2 discharges, while extensive surface degradation occurs for SiCN under high NF3:O2 conditions. © 1998 American Vacuum Society.


Applied Physics Letters | 2004

Improved Pt/Au and W/Pt/Au Schottky contacts on n-type ZnO using ozone cleaning

K. Ip; B. P. Gila; A. H. Onstine; E. S. Lambers; Young-Woo Heo; K. H. Baik; David P. Norton; S. J. Pearton; S. Kim; J. R. LaRoche; F. Ren

UV-ozone cleaning prior to metal deposition of either e-beam Pt contacts or sputtered W contacts on n-type single-crystal ZnO is found to significantly improve their rectifying characteristics. Pt contacts deposited directly on the as-received ZnO surface are Ohmic but show rectifying behavior with ozone cleaning. The Schottky barrier height of these Pt contacts was 0.70eV, with ideality factor of 1.5 and a saturation current density of 6.2×10−6Acm−2. In contrast, the as-deposited W contacts are Ohmic, independent of the use of ozone cleaning. Postdeposition annealing at 700°C produces rectifying behavior with Schottky barrier heights of 0.45eV for control samples and 0.49eV for those cleaned with ozone exposure. The improvement in rectifying properties of both the Pt and W contacts is related to removal of surface carbon contamination from the ZnO.


Applied Physics Letters | 2002

Effect of high-density plasma etching on the optical properties and surface stoichiometry of ZnO

K. Ip; K. H. Baik; M. E. Overberg; E. S. Lambers; Young-Woo Heo; David P. Norton; S. J. Pearton; F. Ren; J. M. Zavada

Bulk, single-crystal ZnO was etched in Cl2/Ar and CH4/H2/Ar inductively coupled plasmas as a function of ion impact energy. For CH4/H2/Ar, the etch rate (R) increases with ion energy (E) as predicted from a model of ion enhanced sputtering by a collision–cascade process, R∝(E0.5−ETH0.5), where the threshold energy, ETH, is ∼96 eV. Band edge photoluminescence intensity decreases with incident ion energy in both chemistries, with a 70% decrease even for low energies (∼116 eV). Surface roughness is also a function of ion energy with a minimum at ∼250 eV, where Auger electron spectroscopy shows there is no measurable change in near-surface stoichiometry from that of unetched control samples.


Journal of Vacuum Science and Technology | 1997

Comparison of dry etch chemistries for SiC

G. McDaniel; J. W. Lee; E. S. Lambers; S. J. Pearton; Paul H. Holloway; F. Ren; J. M. Grow; M. Bhaskaran; R. G. Wilson

SiC has generally been plasma etched in polymer-forming chemistries such as CHF3/O2 or CF4/O2, often with addition of H2 to achieve acceptable surface morphologies. We find that under high ion density conditions gases such as SF6, NF3, IBr, and Cl2 produce smooth surfaces that are free of hydrogen passivation effects. Etch rates in excess of 1500 A/min are achieved in electron cyclotron resonance (ECR) NF3 or Cl2/Ar discharges with low additional rf chuck powers (100–150 W); dc bias of −120 to −170 V. The rates are somewhat lower (factors of 2–4) with IBr and SF6 chemistries. Ion-induced damage is evident from Hall measurements for SiC exposed to rf powers >150 W (dc bias >−170 V) under ECR conditions and >250 W (dc bias >−275) under reactive ion etch conditions. Efforts to anneal damage at these higher powers reveals a major annealing stage is evident at ∼700 °C, with an activation energy of ∼3.4 eV, but there is significant damage remaining even after 1050 °C annealing. Hydrogen passivation is a problem...


Journal of Applied Physics | 2015

TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films

Patrick D. Lomenzo; Qanit Takmeel; Chuanzhen Zhou; Chris M. Fancher; E. S. Lambers; Nicholas G. Rudawski; Jacob L. Jones; Saeed Moghaddam; Toshikazu Nishida

Ferroelectric HfO2-based thin films, which can exhibit ferroelectric properties down to sub-10 nm thicknesses, are a promising candidate for emerging high density memory technologies. As the ferroelectric thickness continues to shrink, the electrode-ferroelectric interface properties play an increasingly important role. We investigate the TaN interface properties on 10 nm thick Si-doped HfO2 thin films fabricated in a TaN metal-ferroelectric-metal stack which exhibit highly asymmetric ferroelectric characteristics. To understand the asymmetric behavior of the ferroelectric characteristics of the Si-doped HfO2 thin films, the chemical interface properties of sputtered TaN bottom and top electrodes are probed with x-ray photoelectron spectroscopy. Ta-O bonds at the bottom electrode interface and a significant presence of Hf-N bonds at both electrode interfaces are identified. It is shown that the chemical heterogeneity of the bottom and top electrode interfaces gives rise to an internal electric field, whic...


Journal of Applied Physics | 1999

Relative merits of Cl2 and CO/NH3 plasma chemistries for dry etching of magnetic random access memory device elements

K. B. Jung; H. Cho; Y. B. Hahn; E. S. Lambers; S. Onishi; D. Johnson; A. T. Hurst; J.R Childress; Y. D. Park; S. J. Pearton

A typical magnetic random access memory stack consists of NiFe/Cu/NiFeCo multilayers, sandwiched by contact and antioxidation layers. For patterning of submicron features without redeposition on the sidewalls, it is desirable to develop plasma etch processes with a significant chlorinated etch component in addition to simple physical sputtering. Under conventional reactive ion etch conditions with Cl2-based plasmas, the magnetic layers do not etch because of the relatively involatile nature of the chlorinated reaction products. However, in high ion density plasmas, such as inductively coupled plasma, etch rates for NiFe and NiFeCo up to ∼700 A min−1 are achievable. The main disadvantage of the process is residual chlorine on the feature sidewalls, which can lead to corrosion. We have explored several options for avoiding this problem, including use of in situ and ex situ cleaning processes after the Cl2-etching, or by use of a noncorrosive plasma chemistry, namely CO/NH3. In the former case, removal of th...


Applied Physics Letters | 1997

High rate dry etching of Ni0.8Fe0.2 and NiFeCo

K. B. Jung; E. S. Lambers; J.R. Childress; S. J. Pearton; M. Jenson; A. T. Hurst

A Cl2/Ar plasma chemistry operated under electron cyclotron resonance (ECR) conditions is found to produce etch rates for NiFe and NiFeCo of ⩾3000 A min−1 at ⩽80 °C. The etch rates are proportional to ion density and average ion energy over a fairly wide range of conditions. Under the same conditions, fluorine or methane/hydrogen plasma chemistries produce rates lower than the Ar sputter rate. The high ion current under ECR conditions appears to balance NiClx, FeClx, and CoClx etch product formation with efficient ion-assisted desorption, and prevents formation of the usual chlorinated selvedge layer that requires elevated ion etching conditions. Post Cl2-etch removal of surface residues is performed with an in situ H2 plasma exposure.


Applied Physics Letters | 2005

Improved oxide passivation of AlGaN∕GaN high electron mobility transistors

B. P. Gila; M. Hlad; A. H. Onstine; R. M. Frazier; G. T. Thaler; A. Herrero; E. S. Lambers; C. R. Abernathy; S. J. Pearton; Timothy J. Anderson; Soohwan Jang; F. Ren; N. Moser; R. C. Fitch; M. Freund

MgO has proven effective in the past as a surface passivation layer to minimize current collapse in AlGaN∕GaN high electron mobility transistors (HEMTs). However, MgO is not environmentally stable and more stable oxides need to be developed. MgCaO can be produced that is lattice matched to the GaN. Three samples were grown with 0%, 50% and 75% of Ca, which had respective lattice mismatches of −6.5% for MgO, −1% for Mg0.50Ca0.50O and +4% for Mg0.25Ca0.75O. Drain saturation current in HENTs had increases of 4.5% and 1%, respectively, for Mg0.5Ca0.5O and Mg0.25Ca0.75O passivated devices. However, there was a 10% decrease for the device passivated with pure MgO. This was due to strain applied on the nitride HEMT by the oxide, which is consistent with the piezoelectric effect in the nitride HEMT by the oxide, which is consistent with the piezoelectric effect in the nitride form the lattice mismatch between AlGaN and GaN. From pulsed measurements, HEMTs passivated with Mg0.5Ca0.5O and Mg0.25Ca0.75O showed highe...


Applied Physics Letters | 2011

Measurement of SiO2/InZnGaO4 heterojunction band offsets by x-ray photoelectron spectroscopy

E. A. Douglas; A. Scheurmann; Ryan Davies; B. P. Gila; Hyun Cho; V. Craciun; E. S. Lambers; S. J. Pearton; F. Ren

X-ray photoelectron spectroscopy was used to measure the energy discontinuity in the valence band (ΔEv) of SiO2/InZnGaO4 (IGZO) heterostructures deposited by low temperature plasma enhanced chemical vapor deposition and sputtering at <50 °C, respectively. A value of ΔEv=1.43±0.15 eV was obtained by using the Ga and Zn 2p3 and In 3d3 and 3d5 energy levels as references. Given the experimental bandgap of 3.2 eV for the IGZO, this would indicate a conduction band offset ΔEC of 4.27 eV in this system.

Collaboration


Dive into the E. S. Lambers's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

F. Ren

University of Florida

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

J. Hong

University of Florida

View shared research outputs
Top Co-Authors

Avatar

R. J. Shul

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

J. W. Lee

University of Florida

View shared research outputs
Researchain Logo
Decentralizing Knowledge