Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Eiichi Hoshino is active.

Publication


Featured researches published by Eiichi Hoshino.


Journal of Micro-nanolithography Mems and Moems | 2003

Pattern printability for off-axis incident light in extreme ultraviolet lithography

Minoru Sugawara; Masaaki Ito; Taro Ogawa; Eiichi Hoshino; Akira Chiba; Shinji Okazaki

Off-axis incident light produces shadowing and an imbalance in the diffracted light. Shadowing causes a change in the critical dimension (CD) and a shift in the position of patterns due to the multiple interference of the absorber and buffer layers. In addition, the imbalance in the diffracted light influences the optical proximity-effect correction (OPC) of actual patterns with a process factor k1 below 0.6. In this study, the main factors influencing OPC were investigated. These include asymmetric aberrations and optical proximity effects (OPE) in line patterns. OPC was then applied to a T-shaped pattern. It is found that the mask error factor (MEF) in low-contrast regions of a layout is an important consideration in OPC.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Smooth low-stress sputtered tantalum and tantalum alloy films for the absorber material of reflective-type EUVL

Masashi Takahashi; Taro Ogawa; Hiromasa Hoko; Eiichi Hoshino; Hiromasa Yamanashi; Naoya Hirano; Akira Chiba; Shinji Okazaki

Tantalum (Ta) and Ta-alloy films were evaluated for use as the absorber material of masks for extreme ultraviolet lithography (EUVL). It was found that Ta film with a stress below 100 MPa, a surface roughness of less than 1 nm rms, a film density of over 14 g/cm3, and a deposition rate of more than 50 nm/min could be obtained by DC sputtering with Ar gas. Experiments on delineating mask patterns in this film by using dry etching revealed that 250-nm line-and-space patterns could be formed. The alloys evaluated were TaGe and TaN. These films were found to have some better properties than Ta film, for example, less stress, a smaller change in stress, and a smoother surface. This is confirmed to be due to the fact that the alloy films are amorphous. Of particular note is that TaN film has a lower deep ultraviolet (DUV) reflectivity than either Ta or TaGe, thus providing higher contrast between the underlying multilayer and the absorber patterns of an EUVL mask during DUV inspection. However, TaN has a lower density than the other two films. So, our current results indicate that using Ta or TaGe for the bulk absorber material and covering that with a thin layer of TaN is a promising way to obtain the film properties required for EUVL mask patterns, including film density and DUV inspection capability.


Microelectronic Engineering | 2002

Evaluation of cleaning techniques for EUVL mask by using a highly sensitive particle detection system

Takashi Yoneda; Hiromasa Hoko; Eiichi Hoshino; Taro Ogawa; Shinji Okazaki; Y Isobe; T Matsumoto; T Mizoguchi

Extreme ultraviolet lithography (EUVL) is one of the promising candidates for patterning process to achieve technology nodes of 50 nm or below. In order to fabricate low-defect EUVL mask blank, two kinds of cleaning technique have to be developed. One is for the substrate before Mo/Si multi-layer coating, another is for finished masks. Particles on the substrate induce phase defects by altering the periodicity of the multi-layer. This makes it essential to remove particles from the substrate. Since EUV light is not expected to transmit materials for a pellicle, the mask of EUVL will be without a pellicle. The mask without a pellicle needs to keep the surface clean, because particles on the mask are easily focused on the wafers. ASET has developed a supersonic hydrocleaning (SHC) technique, which uses twin fluid jet nozzles designed for supersonic flow. Until now, the efficiency with which SHC removes particles below 100 nm in size was unclear because of the resolution limit of current optical inspection tool. In this paper, the particle removal efficiency at that level was assessed using a highly sensitive particle inspection system co-developed by the ASET SPC Laboratory and Hamamatsu Photonics K.K.


Microelectronic Engineering | 2002

Characteristics of Ru as a buffer layer or an etch stopper for EUVL mask patterning

Byoung Taek Lee; Eiichi Hoshino; M. Takahashi; Takashi Yoneda; Hiromasa Yamanashi; Hiromasa Hoko; Akira Chiba; Masaaki Ito; Taro Ogawa; Shinji Okazaki

Abstract The feasibility of using Ru as a buffer layer or an etch stopper in EUVL masks was examined. Ru exhibits a high etching selectivity both to Si (for Ru buffer layer) and SiO 2 (for Ru etch stopper). This can lead to a simple patterning process that does not damage the multilayer. An additional advantage of Ru as a buffer layer is its slow etch rate by a focused ion beam for repair buffer. Furthermore, the use of Ru improves the repair inspection contrast. A Ru layer exhibits very good properties both as a buffer layer and an etch stopper for EUVL mask patterning.


Japanese Journal of Applied Physics | 2002

Transmission Electron Microscopy Observation and Simulation Analysis of Defect-Smoothing Effect of Molybdenum/Silicon Multilayer Coating for Extreme Ultraviolet Lithography Masks

Taro Ogawa; Masaaki Ito; Masashi Takahashi; Hiromasa Hoko; Hiromasa Yamanashi; Eiichi Hoshino; Shinji Okazaki; Keiichi Sekine; Izumi Kataoka

In extreme ultraviolet (EUV) lithography (EUVL), EUV light is reflected from a mask coated with a molybdenum/silicon (Mo/Si) multilayer. Cross-sectional transmission electron microscopy (TEM) images were taken of Mo/Si multilayers deposited on a rough surface of silicon dioxide, which is the alternative material of the real glass-reticle, to analyze the correlation between the roughness of the underlying surface and the variation in the periodicity of a multilayer, which is partly responsible for phase error. It was found that both divots and bumps on the underlying surface which are 3–5 nm in height were smoothed out after the deposition of the first few bilayers of a Mo/Si multilayer with a periodicity of 6.9 nm. Simulation studies are also conducted to investigate the mechanism of the above-mentioned smoothing effect. The results of the simulations indicate that the partly re-sputtered Mo and Si atoms, which are probably caused by the elastically scattered argon atoms from the target, are one of the reasons for above-mentioned smoothing effect.


Japanese Journal of Applied Physics | 2001

Approach to Patterning of Extreme Ultraviolet Lithography Masks using Ru Buffer Layer

Byoung Taek Lee; Eiichi Hoshino; Masashi Takahashi; Takashi Yoneda; Hiromasa Yamanashi; Hiromasa Hoko; Man-Hyoung Ryoo; Akira Chiba; Masaaki Ito; Minoru Sugawara; Tarou Ogawa; Sinji Okazaki

The properties of Ru film were examined to determine its suitability as a repair buffer layer for the patterning of extreme ultraviolet lithography (EUVL) masks. Ru is etched more slowly than a conventional SiO2 buffer layer by a focused ion beam (FIB) for mask repair, which makes it more suitable as a sacrificial layer during repair. When etched in an O2/Cl2 gas mixture with a high Cl2 content at a low total gas flow rate, Ru exhibited a high etching selectivity with respect to Si, the top layer of a Mo/Si multilayer reflector. This could enable use of a simpler mask patterning process without any damage to the multilayer. In addition, the use of Ru rather than SiO2 for the buffer layer improved the deep ultraviolet (DUV) inspection contrast before and after buffer layer etching. The patterning of a mask with a TaN absorber layer and a Ru buffer layer was demonstrated.


Japanese Journal of Applied Physics | 2001

Theoretical Analysis of Placement Error due to Absorber Pattern on Extreme Ultraviolet Lithography Mask

Akira Chiba; Masashi Takahashi; Hiromasa Yamanashi; Hiromasa Hoko; Eiichi Hoshino; Naoya Hirano; Byoung Taek Lee; Tarou Ogawa; Masaaki Ito; Sinji Okazaki

The pattern placement accuracy of an extreme ultraviolet lithography (EUVL) mask strongly depends on the stresses present in the multilayer and absorber films, since film stress causes both out-of-plane and in-plane distortions. To analyze this elastic deformation, we have developed simulation models that handle the interaction between the multilayer and absorber patterns. The models are based on two-dimensional theories of bending plates and plane stress. The numerical calculations employ the finite difference method and the successive over-relaxation method. To examine the validity and accuracy of the models, the deformation of EUVL masks using simple absorber patterns was calculated. For the calculations, we assumed a standard 6 inch quartz substrate with a reflective coating. The influence of the absorber pattern on placement error was investigated by simulations, and it was found that the absorber pattern is the main factor determining the pattern placement accuracy of an EUVL mask.


Microelectronic Engineering | 2002

Simulation model of EUVL mask temperature rise during scanning exposure

Akira Chiba; Eiichi Hoshino; Hiromasa Yamanashi; Hiromasa Hoko; Byoung Taek Lee; Takashi Yoneda; Masaaki Ito; Taro Ogawa; Shinji Okazaki

A three-dimensional transient heat conduction model for predicting the change in temperature of an extreme ultraviolet lithography (EUVL) mask due to periodic scanning exposure was developed. The transient temperature of a mask was calculated in detail until it reached the steady state. The model predicts that periodic scanning exposure produces a temperature peak even in the steady state. An effective way to reduce the thermal influence on an EUVL mask is to use the combination of a high-sensitivity resist and a long exposure time.


Japanese Journal of Applied Physics | 2002

Modeling of In-Plane Distortion of Extreme Ultraviolet Lithography Mask in Flat State

Akira Chiba; Kazuya Ota; Eiichi Hoshino; Minoru Sugawara; Taro Ogawa; Shinji Okazaki

A simulation model for estimating in-plane distortion (IPD) of extreme ultraviolet lithography (EUVL) mask in a flat state was developed on the basis of a two-dimensional plane stress theory. An EUVL mask made of quartz glass was assumed in the simulation. IPD of three types of EUVL masks was investigated. The model predicts not only IPD but also the strain in the direction perpendicular to the surface. One of the IPD sources is stress gradient of the multilayer and absorber films. Discontinuity stress gradient in the pattern edge is one source of fluctuation-like displacement amplitude. A peak placement error on the mask of ±6 nm was predicted in the range of ±500 MPa absorber stress and -100 MPa multilayer stress. The fluctuation in surface height of 0.4 nm was 1/100 and below compared with the absorber thickness of 100 nm.


19th Annual Symposium on Photomask Technology | 1999

Damage control during dry etching of EUV mask: I. Control of surface roughness

Eiichi Hoshino; Taro Ogawa; Masashi Takahashi; Hiromasa Hoko; Hiromasa Yamanashi; Naoya Hirano; Shinji Okazaki

To obtain good optical properties in an EUVL mask, the substrate should not suffer any damage either during mask fabrication or during use. As one step in ensuring that this is the case, the surface roughness of patterns etched on a mask substrate was examined, since it reduces the reflectance of the substrate. The experiments involved coating a Mo/Si multilayer with a SiO2 buffer layer and a Ta absorber layer. After the absorber was dry etched with a mixture of Cl2 and BCl3 gases, dry etching was used to over-etch the buffer layer. It was found that dry etching with Cl2 + BCl3 provides both a smooth Ta surface (roughness: less than 1 nm rms) and good control of the etching depth, even though the Ta etching rate was very high (389.6 nm/min.). The results indicate that the process used in this study is quite suitable for making absorber patterns.

Collaboration


Dive into the Eiichi Hoshino's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Naoya Hirano

Tokyo University of Agriculture and Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge