Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Naoya Hirano is active.

Publication


Featured researches published by Naoya Hirano.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Smooth low-stress sputtered tantalum and tantalum alloy films for the absorber material of reflective-type EUVL

Masashi Takahashi; Taro Ogawa; Hiromasa Hoko; Eiichi Hoshino; Hiromasa Yamanashi; Naoya Hirano; Akira Chiba; Shinji Okazaki

Tantalum (Ta) and Ta-alloy films were evaluated for use as the absorber material of masks for extreme ultraviolet lithography (EUVL). It was found that Ta film with a stress below 100 MPa, a surface roughness of less than 1 nm rms, a film density of over 14 g/cm3, and a deposition rate of more than 50 nm/min could be obtained by DC sputtering with Ar gas. Experiments on delineating mask patterns in this film by using dry etching revealed that 250-nm line-and-space patterns could be formed. The alloys evaluated were TaGe and TaN. These films were found to have some better properties than Ta film, for example, less stress, a smaller change in stress, and a smoother surface. This is confirmed to be due to the fact that the alloy films are amorphous. Of particular note is that TaN film has a lower deep ultraviolet (DUV) reflectivity than either Ta or TaGe, thus providing higher contrast between the underlying multilayer and the absorber patterns of an EUVL mask during DUV inspection. However, TaN has a lower density than the other two films. So, our current results indicate that using Ta or TaGe for the bulk absorber material and covering that with a thin layer of TaN is a promising way to obtain the film properties required for EUVL mask patterns, including film density and DUV inspection capability.


Japanese Journal of Applied Physics | 2000

Preparation of Tungsten Nitride on Alumina by Chemical Vapor Deposition

Masatoshi Nagai; Naoya Hirano; Shinzo Omi

Tungsten nitride was synthesized on γ-alumina using a chemical vapor deposition method in a stream of WCl6, NH3, H2, and Ar at temperatures of 773 to 973 K under 70 Pa. The surface area of the W2N/Al2O3 system decreased from 178 to 140 m2 g-1 with increasing tungsten loading. The pore volume decreased with increasing deposition of W2N with a constant distribution of pore size. The X-ray diffraction analysis showed the formation of β-W2N (100) and (111) phases in the 4.9 wt% W2N/Al2O3 but not in the 1.2 and 3.3 wt% W2N/Al2O3. From the X-ray photoelectron spectroscopy analysis, the N 1s/W 4f atomic ratio increased from 1.3 to 1.6 for the 1.2 to 4.9 wt% W2N/Al2O3. W0, W2+, and W4+ were dominant for the 4.9 wt% W2N/Al2O3.


Photomask and next-generation lithography mask technology. Conference | 2000

Process scheme for removing buffer layer on multilayer for EUVL mask

Eiichi Hoshino; Taro Ogawa; Masashi Takahashi; Hiromasa Hoko; Hiromasa Yamanashi; Naoya Hirano; Akira Chiba; Masaaki Ito; Shinji Okazaki

In the fabrication of masks for EUVL, a combination of dry and wet etching was used to remove the SiO2 buffer layer. This technique greatly improves the pattern quality, yielding re-entrant shaped mask patterns with a steep SiO2 sidewall. Under proper conditions, etching results in the base of the sidewall being recessed around 5 nm from the edge of the Ta pattern. The strength of hydrofluoric acid (HF) solution was set to 3.3 percent to allow good control of the etching rate. A combination of dry and wet etching is an effective way to remove the SiO2 buffer layer because it can compensate for a variation of as much as 7.6 percent in the thickness of the SiO2 film before etching.


Japanese Journal of Applied Physics | 2001

Theoretical Analysis of Placement Error due to Absorber Pattern on Extreme Ultraviolet Lithography Mask

Akira Chiba; Masashi Takahashi; Hiromasa Yamanashi; Hiromasa Hoko; Eiichi Hoshino; Naoya Hirano; Byoung Taek Lee; Tarou Ogawa; Masaaki Ito; Sinji Okazaki

The pattern placement accuracy of an extreme ultraviolet lithography (EUVL) mask strongly depends on the stresses present in the multilayer and absorber films, since film stress causes both out-of-plane and in-plane distortions. To analyze this elastic deformation, we have developed simulation models that handle the interaction between the multilayer and absorber patterns. The models are based on two-dimensional theories of bending plates and plane stress. The numerical calculations employ the finite difference method and the successive over-relaxation method. To examine the validity and accuracy of the models, the deformation of EUVL masks using simple absorber patterns was calculated. For the calculations, we assumed a standard 6 inch quartz substrate with a reflective coating. The influence of the absorber pattern on placement error was investigated by simulations, and it was found that the absorber pattern is the main factor determining the pattern placement accuracy of an EUVL mask.


20th Annual BACUS Symposium on Photomask Technology | 2001

Dry etching of Ta absorber for EUVL masks

Eiichi Hoshino; Taro Ogawa; Naoya Hirano; Hiromasa Hoko; Masashi Takahashi; Hiromasa Yamanashi; Akira Chiba; Masaaki Ito; Shinji Okazaki

This study concerns the quality of Ta absorber patterns on EUVL masks. Experiments revealed that the sidewall angle of the absorber pattern strongly affects pattern printability because the mask is a reflective type and the wavelength is very short (lambda = 13.5 nm). When a resist pattern is transferred to a Ta film by etching, the quality of the Ta pattern generally depends on the properties of the resist and resist pattern (etching durability, angle of sidewall, etc.). To control the sidewall angle of Ta patterns, we need to pay close attention to the interface between the resist and the Ta film, and also to the side etching characteristics of Ta crystal, because the feature size of printed patterns will be as small as 50 nm or below in the EUVL era. We found that it is possible to control the sidewall angle of Ta patterns through the introduction of an additional gas and adjustment of the radio frequency conditions during plasma etching. This paper also discusses the effect of the thermal conductivity of a substrate. Though Si wafers were used in our experiments, we simulated the thermal effects of using a quartz substrate, since quartz has a much larger thermal conductivity than Si. The results showed the temperature rise during plasma etching to be less than 150 deg. Celsius. This work is supported by NEDO.


19th Annual Symposium on Photomask Technology | 1999

Damage control during dry etching of EUV mask: I. Control of surface roughness

Eiichi Hoshino; Taro Ogawa; Masashi Takahashi; Hiromasa Hoko; Hiromasa Yamanashi; Naoya Hirano; Shinji Okazaki

To obtain good optical properties in an EUVL mask, the substrate should not suffer any damage either during mask fabrication or during use. As one step in ensuring that this is the case, the surface roughness of patterns etched on a mask substrate was examined, since it reduces the reflectance of the substrate. The experiments involved coating a Mo/Si multilayer with a SiO2 buffer layer and a Ta absorber layer. After the absorber was dry etched with a mixture of Cl2 and BCl3 gases, dry etching was used to over-etch the buffer layer. It was found that dry etching with Cl2 + BCl3 provides both a smooth Ta surface (roughness: less than 1 nm rms) and good control of the etching depth, even though the Ta etching rate was very high (389.6 nm/min.). The results indicate that the process used in this study is quite suitable for making absorber patterns.


17th European Conference on Mask Technology for Integrated Circuits and Microcomponents | 2001

Removal process for buffer layer on multilayer of EUVL mask

Eiichi Hoshino; Taro Ogawa; Masashi Takahashi; Hiromasa Hoko; Hiromasa Yamanashi; Naoya Hirano; Akira Chiba; Byoung Taek Lee; Masaaki Ito; Shinji Okazaki

To obtain a stable pattern profile for the SiO2 buffer layer of an EUVL (Extreme Ultraviolet Lithography) mask, the process latitude available under actual manufacturing conditions was examined by using a conventional spin wet etcher and trying to make the etching depth as uniform as possible. Generally, wet etching uniformity depends on the sequence of the paddling and swing of the etchant nozzle. A uniformity of 1.5%, which meets manufacturing requirements, was found to be obtainable with a special nozzle. This report details a process scheme for removing the buffer layer on the multilayer of an EUVL mask, and presents a method of inspecting the buffer layer along with some simulation results on the printability of residues near the pattern edge.


Photomask and next-generation lithography mask technology. Conference | 2000

Surface preparation of EUVL mask substrate for multilayer coating by supersonic hydrocleaning technique

Naoya Hirano; Hiromasa Hoko; Eiichi Hoshino; Taro Ogawa; Akira Chiba; Hiromasa Yamanashi; Masashi Takahashi; Shinji Okazaki

Extreme UV lithography (EUVL) is a promising process for patterning devices for the 70-nm technology node and below. In order to fabricate low-defect EUVL-mask blanks, two kinds of cleaning techniques have to be developed. One is for cleaning a substrate before deposition of the Mo/Si multi- layer, since particles on the substrate can induce phase defects by altering the periodicity of the multi-layer. The other is for cleaning finished masks. Since the material generally used for pellicles are not transparent to EUV light, EUVL masks will not have a pellicle. The surface of such masks needs to be kept very clean, because particles on the mask are easily transferred to a wafer. This paper describes a new particle removal techniques developed at the ASET SPC Lab, called supersonic hydrocleaning . It was used to clean substrates before deposition of the multi-layer, and its effectiveness was evaluated.


Catalysis Today | 1999

CVD preparation of alumina-supported tungsten nitride and its activity for thiophene hydrodesulfurization

Masatoshi Nagai; Toshiji Suda; Katsuhiko Oshikawa; Naoya Hirano; Shinzo Omi


Archive | 2000

Device and method for cleaning substrate

Naoya Hirano; Hirosane Hoko; Eiichi Hoshino; Taro Ogawa; 太郎 小川; 直也 平野; 栄一 星野; 宏真 鉾

Collaboration


Dive into the Naoya Hirano's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Masatoshi Nagai

Tokyo University of Agriculture and Technology

View shared research outputs
Top Co-Authors

Avatar

Shinzo Omi

Tokyo University of Agriculture and Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge