Elizabeth Buitrago
Paul Scherrer Institute
Network
Latest external collaboration on country level. Dive into details by clicking on the dots.
Publication
Featured researches published by Elizabeth Buitrago.
Proceedings of SPIE | 2017
Oktay Yildirim; Elizabeth Buitrago; Rik Hoefnagels; Marieke Meeuwissen; Sander Frederik Wuister; Gijsbert Rispens; Anton van Oosten; Paul Derks; Jo Finders; Michaela Vockenhuber; Yasin Ekinci
Extreme ultraviolet (EUV) lithography with 13.5 nm wavelength is the main option for sub-10nm patterning in the semiconductor industry. We report improvements in resist performance towards EUV high volume manufacturing. A local CD uniformity (LCDU) model is introduced and validated with experimental contact hole (CH) data. Resist performance is analyzed in terms of ultimate printing resolution (R), line width roughness (LWR), sensitivity (S), exposure latitude (EL) and depth of focus (DOF). Resist performance of dense lines at 13 nm half-pitch and beyond is shown by chemical amplified resist (CAR) and non-CAR (Inpria YA Series) on NXE scanner. Resolution down to 10nm half pitch (hp) is shown by Inpria YA Series resist exposed on interference lithography at the Paul Sherrer Institute. Contact holes contrast and consequent LCDU improvement is achieved on a NXE:3400 scanner by decreasing the pupil fill ratio. State-of-the-art imaging meets 5nm node requirements for CHs. A dynamic gas lock (DGL) membrane is introduced between projection optics box (POB) and wafer stage. The DGL membrane will suppress the negative impact of resist outgassing on the projection optics by 100%, enabling a wider range of resist materials to be used. The validated LCDU model indicates that the imaging requirements of the 3nm node can be met with single exposure using a high-NA EUV scanner. The current status, trends, and potential roadblocks for EUV resists are discussed. Our results mark the progress and the improvement points in EUV resist materials to support EUV ecosystem.
Journal of Micro-nanolithography Mems and Moems | 2015
Ryan Del Re; James Passarelli; Miriam Sortland; Brian Cardineau; Yasin Ekinci; Elizabeth Buitrago; Mark Neisser; Daniel A. Freedman; Robert L. Brainard
Abstract. Pure thin films of organotin compounds have been lithographically evaluated using extreme ultraviolet lithography (EUVL, 13.5 nm). Twenty compounds of the type R2Sn(O2CR′)2 were spin-coated from solutions in toluene, exposed to EUV light, and developed in organic solvents. Exposures produced negative-tone contrast curves and dense-line patterns using interference lithography. Contrast-curve studies indicated that the photosensitivity is linearly related to the molecular weight of the carboxylate group bound to tin. Additionally, photosensitivity was found to be linearly related to free radical stability of the hydrocarbon group bound directly to tin (R=phenyl, butyl, and benzyl). Dense-line patterning capabilities varied, but two resists in particular show exceptionally good line edge roughness (LER). A resist composed of an amorphous film of (C6H5CH2)2Sn(O2CC(CH3)3)2 (1) achieved 1.4 nm LER at 22-nm half-pitch patterning and a resist composed of (C6H5CH2)2Sn(O2CC6H5)2 (2) achieved 1.1 nm LER at 35-nm half-pitch at high exposure doses (600u2009u2009mJ/cm2). Two photoresists that use olefin-based carboxylates, (C6H5CH2)2Sn(O2CCH⏧CH2)2 (3) and (C6H5CH2)2Sn(O2CC(CH3)⏧CH2)2 (4), demonstrated better photospeeds (5u2009u2009mJ/cm2 and 27u2009u2009mJ/cm2) but worse LER.
Proceedings of SPIE | 2015
Elizabeth Buitrago; Oktay Yildirim; Coen Verspaget; N. Tsugama; Rik Hoefnagels; Gijsbert Rispens; Yasin Ekinci
Extreme ultraviolet lithography (EUVL) stands as the most promising solution for the fabrication of future technology nodes in the semiconductor industry. Nonetheless, the successful introduction of EUVL into the extremely competitive and stringent high-volume manufacturing (HVM) phase remains uncertain partly because of the still limiting performance of EUV resists below 16 nm half-pitch (HP) resolution. Particularly, there exists a trade-off relationship between resolution (half-pitch), sensitivity (dose) and line-edge roughness (LER) that can be achieved with existing materials. This trade-off ultimately hampers their performance and extendibility towards future technology nodes. Here we present a comparative study of highly promising chemically amplified resists (CARs) that have been evaluated using the EUV interference lithography (EUV-IL) tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). In this study we have focused on the performance qualification of different resists mainly for 18 nm and 16 nm half-pitch line/space resolution (L/S = 1:1). Among the most promising candidates tested, there are a few choices that allow for 16 nm HP resolution to be achieved with high exposure latitude (up to ~ 33%), low LER (down to 3.3 nm or ~ 20% of critical dimension CD) and low dose-to-size (or best-energy, BE) < 41 mJ/cm2 values. Patterning was even demonstrated down to 12 nm HP with one of CARs (R1UL1) evaluated for their extendibility beyond the 16 nm HP resolution. 11 nm HP patterning with some pattern collapse and well resolved patterns down 12 nm were also demonstrated with another CAR (R15UL1) formulated for 16 nm HP resolution and below. With such resist it was possible even to obtain a small process window for 14 nm HP processing with an EL ~ 8% (BE ~ 37 mJ/cm2, LER ~ 4.5 nm). Though encouraging, fulfilling all of the requirements necessary for high volume production, such as high resolution, low LER, high photon sensitivity (dose), and high exposure latitude (EL) simultaneously still remains challenging below 16 nm HP.
Journal of Micro-nanolithography Mems and Moems | 2015
Tero S. Kulmala; Michaela Vockenhuber; Elizabeth Buitrago; Roberto Fallica; Yasin Ekinci
Abstract. Extreme ultraviolet (EUV) lithography is considered to be the most promising option to continue with the downscaling of integrated circuits in high-volume manufacturing. One of the main challenges, however, is the development of EUV resists that fulfill the strict sensitivity, resolution, and line-edge roughness specifications of future nodes. Here, we present our EUV resist screening results of a wide range of EUV resists in their developmental phase from our collaborators from around the world. Furthermore, we have carried out extensive experiments to improve the processing parameters of the resists as well as to identify the optimal wafer pretreatment methods in order to optimize the adhesion of the resist to the substrate. We show that even though significant improvements in performance of chemically amplified resists have been achieved, pattern collapse is still the major process-limiting factor as the resolution decreases below 14 nm half-pitch.
Journal of Micro-nanolithography Mems and Moems | 2016
Elizabeth Buitrago; Seiji Nagahara; Oktay Yildirim; Hisashi Nakagawa; Seiichi Tagawa; Marieke Meeuwissen; Tomoki Nagai; Takehiko Naruoka; Coen Verspaget; Rik Hoefnagels; Gijsbert Rispens; Gosuke Shiraishi; Yuichi Terashita; Yukie Minekawa; Kosuke Yoshihara; Akihiro Oshima; Michaela Vockenhuber; Yasin Ekinci
Abstract. Extreme ultraviolet lithography (EUVL, λ=13.5u2009u2009nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high-power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity [S or best energy (BE)], and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (line width roughness, resolution and sensitivity trade-off) among these parameters for chemically amplified resists (CARs). We present early proof-of-principle results for a multiexposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a “Photosensitized Chemically Amplified Resist™” (PSCAR™). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV-flood exposure (λ=365u2009u2009nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR, and EL high-performance requirements with the aim of resolving line space (L/S) features for the 7- and 5-nm logic node [16- and 13-nm half-pitch (HP), respectively] for HVM. Several CARs were additionally found to be well resolved down to 12- and 11-nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and below 16-nm HP resolution, demonstrating the need for alternative resist solutions at 13-nm resolution and below. EUV interference lithography (IL) has provided and continues to provide a simple yet powerful platform for academic and industrial research, enabling the characterization and development of resist materials before commercial EUV exposure tools become available. Our experiments have been performed at the EUV-IL set-up in the Swiss Light Source (SLS) synchrotron facility located at the Paul Scherrer Institute (PSI).
Proceedings of SPIE | 2016
Seiji Nagahara; Michael A. Carcasi; Hisashi Nakagawa; Elizabeth Buitrago; Oktay Yildirim; Gosuke Shiraishi; Yuichi Terashita; Yukie Minekawa; Kosuke Yoshihara; Masaru Tomono; Hironori Mizoguchi; Joel Estrella; Tomoki Nagai; Takehiko Naruoka; Satoshi Dei; Masafumi Hori; Akihiro Oshima; Michaela Vockenhuber; Yasin Ekinci; Marieke Meeuwissen; Coen Verspaget; Rik Hoefnagels; Gijsbert Rispens; R. Maas; Hideo Nakashima; Seiichi Tagawa
This paper proposes a promising approach to break the resolution (R), line-edge-roughness (LER), and sensitivity (S) trade-off (RLS trade-off) relationships that limit the ultimate lithographic performance of standard chemically amplified resists (CAR). This is accomplished in a process that uses a Photosensitized Chemically Amplified Resist (PSCAR) in combination with a flood-exposure in an in-line track connected to a pattern exposure tool. PSCAR is a modified CAR which contains a photosensitizer precursor (PP) in addition to other standard CAR components such as a protected polymer, a photo acid generator (PAG) and a quencher. In this paper, the PSCAR concept and the required conditions in resist formulation are carefully explained. In the PSCAR process, the sensitivity improvement is accomplished by PAG decomposition to selectively generate more acid at the pattern exposed areas during the flood exposure. The selective photosensitization happens through the excitation of the photosensitizer (PS) generated by the deprotection of the PP at the pattern exposed areas. A higher resist chemical gradient which leads to an improved resolution and lower LER values is also predicted using the PSCAR simulator. In the PSCAR process, the improved chemical gradient can be realized by dual acid quenching steps with the help of increased quencher concentration. Acid quenching first happens simultaneously with acid catalytic PP to PS reactions. As a result, a sharpened PS latent image is created in the PSCAR. This image is subsequently excited by the flood exposure creating additional acid products at the pattern exposed areas only. Much the same as in the standard CAR system, unnecessary acid present in the non-pattern exposed areas can be neutralized by the remaining quencher to therefore produce sharper acid latent images. EUV exposure results down to 15 nm half pitch (HP) line/space (L/S) patterns using a PSCAR resist indicate that the use of PSCAR has the potential to improve the sensitivity of the system while simultaneously improving the line-width-roughness (LWR) with added quencher and flood exposure doses. In addition, improved across-wafer critical dimension uniformity (CDU) is realized by the use of a PSCAR in combination with a flood exposure using pre α UV exposure module.
Proceedings of SPIE | 2016
Tomoki Nagai; Hisashi Nakagawa; Takehiko Naruoka; Seiichi Tagawa; Akihiro Oshima; Seiji Nagahara; Gosuke Shiraishi; Kosuke Yoshihara; Yuichi Terashita; Yukie Minekawa; Elizabeth Buitrago; Yasin Ekinci; Oktay Yildirim; Marieke Meeuwissen; Rik Hoefnagels; Gijsbert Rispens; Coen Verspaget; R. Maas
Extreme ultraviolet lithography (EUVL) has been recognized as the most promising candidate for the manufacture of semiconductor devices for the 7 nm node and beyond. A key point in the successful introduction of EUV lithography in high volume manufacture (HVM) is the effective EUV dose utilization while simultaneously realizing ultra-high resolution and low line edge roughness (LER). Here we show EUV resist sensitivity improvement with the use of a photosensitized chemically amplified resist PSCARTM system. The evaluation of this new chemically amplified resist (CAR) as performed using EUV interference lithography (EUV-IL) is described and the fundamentals are discussed.
Frontiers of Nanoscience | 2016
Elizabeth Buitrago; Tero S. Kulmala; Roberto Fallica; Yasin Ekinci
Abstract Outstanding technology innovations have continuously allowed photolithography to remain at the forefront of semiconductor manufacturing for decades. New materials and processes for the next generation of photolithography need to be developed and understood for the semiconductor industry to stay competitive and be able to extend the technology roadmap into the single-digit nanometer resolution regime. As the reduction of the exposure wavelength to extreme ultraviolet (EUV, λ xa0=xa013.5xa0nm) is imminent in photolithography systems, EUV lithography represents the future of high-volume manufacturing of semiconductor devices. Nonetheless, EUV introduction is complex and significant problems need to be overcome. In this chapter, EUV lithography process challenges will be explored and EUV interference lithography will be presented as a valuable tool not only for photoresist research testing but patterning of periodic structures at ultra-high resolution for academic purposes.
Proceedings of SPIE | 2015
Tero S. Kulmala; Michaela Vockenhuber; Elizabeth Buitrago; Roberto Fallica; Yasin Ekinci
Extreme ultraviolet lithography (EUVL) is considered to be the most promising option to continue with the aggressive scaling required in high-volume manufacturing (HVM) of integrated circuits. One of the main challenges, however, is the development of EUV resists that fulfill the strict sensitivity, resolution, and line-edge roughness specifications of future nodes. Here, we present our EUV resist screening results of a wide range of EUV resists in their developmental phase from our collaborators from around the world. Furthermore, we have carried out extensive experiments to improve the processing parameters of the resists as well as to identify the optimal wafer pre-treatment methods in order to optimize the adhesion of the resist to the substrate. We show that even though significant improvements in performance of chemically amplified resists have been achieved, pattern collapse is still the major process-limiting factor as the resolution decreases below 14 nm half-pitch (HP).
Proceedings of SPIE | 2017
Elizabeth Buitrago; Marieke Meeuwissen; Oktay Yildirim; Rolf Custers; Rik Hoefnagels; Gijsbert Rispens; Michaela Vockenhuber; Iacopo Mochi; Roberto Fallica; Zuhal Tasdemir; Yasin Ekinci
Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) being the most likely candidate to manufacture electronic devices for future technology nodes is to be introduced in high volume manufacturing (HVM) at the 7 nm logic node, at least at critical lithography levels. With this impending introduction, it is clear that excellent resist performance at ultra-high printing resolutions (below 20 nm line/space L/S) is ever more pressing. Nonetheless, EUVL has faced many technical challenges towards this paradigm shift to a new lithography wavelength platform. Since the inception of chemically amplified resists (CARs) they have been the base upon which state-of-the art photoresist technology has been developed from. Resist performance as measured in terms of printing resolution (R), line edge roughness (LER), sensitivity (D or exposure dose) and exposure latitude (EL) needs to be improved but there are well known trade-off relationships (LRS trade-off) among these parameters for CARs that hamper their simultaneous enhancement. Here, we present some of the most promising EUVL materials tested by EUV interference lithography (EUV-IL) with the aim of resolving features down to 11 nm half-pitch (HP), while focusing on resist performance at 16 and 13 nm HP as needed for the 7 and 5 nm node, respectively. EUV-IL has enabled the characterization and development of new resist materials before commercial EUV exposure tools become available and is therefore a powerful research and development tool. With EUV-IL, highresolution periodic images can be printed by the interference of two or more spatially coherent beams through a transmission-diffraction grating mask. For this reason, our experiments have been performed by EUV-IL at Swiss Light Source (SLS) synchrotron facility located at the Paul Scherrer Institute (PSI). Having the opportunity to test hundreds of EUVL materials from vendors and research partners from all over the world, PSI is able to give a global update on some of the most promising materials tested.