Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Seiji Nagahara is active.

Publication


Featured researches published by Seiji Nagahara.


Journal of Vacuum Science & Technology B | 1997

Radiation-induced reactions of chemically amplified x-ray and electron-beam resists based on deprotection of t-butoxycarbonyl groups

Takahiro Kozawa; Seiji Nagahara; Yohichi Yoshida; Seiichi Tagawa; Takeo Watanabe; Yoshio Yamashita

Radiation-induced reactions in chemically amplified resists based on deprotection of t-butoxycarbonyl groups have been investigated by both time-resolved (the pulse radiolysis methods) and steady-state optical absorption spectroscopy. Upon exposure of a partially tBOC-protected novolak by electron and synchrotron radiation beams, the yields of the intermediates contributing to the acid generation (phenoxyl radical and proton adducts of base resin) decreased with increasing the protection ratio of hydroxyl groups. Therefore, the efficiency of the acid generation is closely related with the protection ratio. The relation of the acid generation mechanism with the protection ratio was discussed.


Advances in Resist Technology and Processing XVII | 2000

Radiation and photochemistry of onium salt acid generators in chemically amplified resists

Seiichi Tagawa; Seiji Nagahara; Toshiyuki Iwamoto; Masanori Wakita; Takahiro Kozawa; Yukio Yamamoto; D.W. Werst; Alexander D. Trifunac

The difference in photochemistry and radiation chemistry of sulfonium salt acid generator was investigated by product analysis and time resolved spectroscopic methods for chemically amplified resist application. After KrF excimer laser and electron beam irradiation of sulfonium salt, yields of decomposed products including acid were determined. The ultra fast in-cage reactions after laser irradiation were directly observed by the femtosecond laser flash photolysis method. Intermediates after electron beam irradiation were observed by nanosecond electron beam pulse radiolysis. From both the product analysis and time resolved spectroscopic methods, the contribution of each reaction pathway to acid generation was determined.


Proceedings of SPIE | 2012

Pattern scaling with directed self assembly through lithography and etch process integration

Benjamen M. Rathsack; Mark Somervell; Josh Hooge; Makoto Muramatsu; Keiji Tanouchi; Takahiro Kitano; Eiichi Nishimura; Koichi Yatsuda; Seiji Nagahara; Iwaki Hiroyuki; Keiji Akai; Takashi Hayakawa

Directed self-assembly (DSA) has the potential to extend scaling for both line/space and hole patterns. DSA has shown the capability for pitch reduction (multiplication), hole shrinks, CD self-healing as well as a pathway towards line edge roughness (LER) and pattern collapse improvement [1-4]. The current challenges for industry adoption are materials maturity, practical process integration, hardware capability, defect reduction and design integration. Tokyo Electron (TEL) has created close collaborations with customers, consortia and material suppliers to address these challenges with the long term goal of robust manufacturability. This paper provides a wide range of DSA demonstrations to accommodate different device applications. In collaboration with IMEC, directed line/space patterns at 12.5 and 14 nm HP are demonstrated with PS-b-PMMA (poly(styrene-b-methylmethacrylate)) using both chemo and grapho-epitaxy process flows. Pre-pattern exposure latitudes of >25% (max) have been demonstrated with 4X directed self-assembly on 300 mm wafers for both the lift off and etch guide chemo-epitaxy process flows. Within TELs Technology Development Center (TDC), directed selfassembly processes have been applied to holes for both CD shrink and variation reduction. Using a PS-b-PMMA hole shrink process, negative tone developed pre-pattern holes are reduced to below 30 nm with critical dimension uniformity (CDU) of 0.9 nm (3s) and contact edge roughness (CER) of 0.8 nm. To generate higher resolution beyond a PS-b-PMMA system, a high chi material is used to demonstrate 9 nm HP line/ space post-etch patterns. In this paper, TEL presents process solutions for both line/space and hole DSA process integrations.


Journal of Micro-nanolithography Mems and Moems | 2013

Contact hole shrink process using graphoepitaxial directed self-assembly lithography

Yuriko Seino; Hiroki Yonemitsu; Hironobu Sato; Masahiro Kanno; Hirokazu Kato; Katsutoshi Kobayashi; Ayako Kawanishi; Tsukasa Azuma; Makoto Muramatsu; Seiji Nagahara; Takahiro Kitano; Takayuki Toshima

Abstract. A contact hole shrink process using directed self-assembly lithography (DSAL) for sub-30 nm contact hole patterning is reported on. DSAL using graphoepitaxy and poly (styrene-block-methyl methacrylate) (PS-b-PMMA) a block copolymer (BCP) was demonstrated and characteristics of our process are spin-on-carbon prepattern and wet development. Feasibility of DSAL for semiconductor device manufacturing was investigated in terms of DSAL process window. Wet development process was optimized first; then critical dimension (CD) tolerance of prepattern was evaluated from three different aspects, which are DSA hole CD, contact edge roughness (CER), and hole open yield. Within 70+/−5  nm hole prepattern CD, 99.3% hole open yield was obtained and CD tolerance was 10 nm. Matching between polymer size and prepattern size is critical, because thick PS residual layer appears at the hole bottom when the prepattern holes are too small or too large and results in missing holes after pattern transfer. We verified the DSAL process on a 300-mm wafer at target prepattern CD and succeeded in patterning sub-30 nm holes on center, middle, and edge of wafer. Average prepattern CD of 72 nm could be shrunk uniformly to DSA hole pattern of 28.5 nm. By the DSAL process, CD uniformity was greatly improved from 7.6 to 1.4 nm, and CER was also improved from 3.9 to 0.73 nm. Those values represent typical DSAL rectification characteristics and are significant for semiconductor manufacturing. It is clearly demonstrated that the contact hole shrink using DSAL is a promising patterning method for next-generation lithography.


Advances in Resist Technology and Processing XVII | 2000

Methods to improve radiation sensitivity of chemically amplified resists by using chain reactions of acid generation

Seiji Nagahara; Yusuke Sakurai; Masanori Wakita; Yukio Yamamoto; Seiichi Tagawa; Masanori Komuro; Ei Yano; Shinji Okazaki

The approach toward the enhancement of the resist sensitivity was investigated by introducing the radical chain reactions into the acid generation processes. The acid yields of various ionic and nonionic acid generators in some solvents and films were examined to search the most efficient system of the radical chain acid proliferation reactions. The acid proliferation was discussed using Gibbs free energy change of the electron transfer reactions in the chain reactions. The most efficient system to realize the chain reactions was the combination of iodonium salt acid generator and secondary alcohol acid amplifiers. In acrylic polymer resists containing the iodonium salt and the alcohol compounds, resist sensitivity was enhanced in electron beam lithography.


Proceedings of SPIE | 2013

Advances in directed self assembly integration and manufacturability at 300 mm

Benjamen M. Rathsack; Mark Somervell; Makato Muramatsu; Keiji Tanouchi; Takahiro Kitano; Eiichi Nishimura; Koichi Yatsuda; Seiji Nagahara; Hiroyuki Iwaki; Keiji Akai; Mariko Ozawa; Ainhoa Romo Negreira; Shigeru Tahara; Kathleen Nafus

Directed self-assembly (DSA) has the potential to extend scaling for both line/space and hole patterns. DSA has shown the capability for pitch reduction (multiplication), hole shrinks, CD self-healing as well as a pathway towards LWR and pattern collapse improvement [1-10]. TEL has developed a DSA development ecosystem (collaboration with customers, consortia, inspection vendors and material suppliers) to successfully demonstrate directed PS-PMMA DSA patterns using chemo-epitaxy (lift-off and etch guide) and grapho-epitaxy integrations on 300 mm wafers. New processes are being developed to simplify process integration, to reduce defects and to address design integration challenges with the long term goal of robust manufacturability. For hole DSA applications, a wet development process has been developed that enables traditional post-develop metrology through the high selectivity removal of PMMA cylindrical cores. For line/ space DSA applications, new track, cleans and etch processes have been developed to improve manufacturability. In collaboration with universities and consortia, fundamental process studies and simulations are used to drive process improvement and defect investigation. To extend DSA resolution beyond a PS-PMMA system, high chi materials and processes are also explored. In this paper, TEL’s latest process solutions for both hole and line/space DSA process integrations are presented.


Proceedings of SPIE | 2012

Contact hole shrink process using directed self-assembly

Yuriko Seino; Hiroki Yonemitsu; Hironobu Sato; Masahiro Kanno; Hikazu Kato; Katsutoshi Kobayashi; Ayako Kawanishi; Tsukasa Azuma; Makoto Muramatsu; Seiji Nagahara; Takahiro Kitano; Takayuki Toshima

We report on a contact hole shrink process using directed self-assembly. A diblock copolymer, poly (styrene-blockmethyl methacrylate) (PS-b-PMMA), is used to shrink contact holes. Contact hole guide patterns for graphoepitaxy are formed by ArF photoresists. Cylindrical domains of PMMA is removed using organic solvents after DUV (λ <200 nm) irradiation. In this work, it is found that a solvent system is the best developer from the evaluated single solvent systems and mixed solvent systems. The wet development of PS-b-PMMA strongly depends on total exposure dose of DUV irradiation. With lower exposure dose, the cylindrical domains of PMMA are not clearly removed. With optimum exposure dose, PMMA is developed clearly. The contact hole guide patterns of 75 nm in diameter are successfully shrunk to 20 nm in diameter using the wet development process.


Proceedings of SPIE | 2010

SMO for 28-nm logic device and beyond: impact of source and mask complexity on lithography performance

Seiji Nagahara; Kazuyuki Yoshimochi; Hiroshi Yamazaki; Kazuhiro Takeda; Takayuki Uchiyama; Zhipan Li; Hua-Yu Liu; Keith Gronlund; Terunobu Kurosawa; Jun Ye; Luoqi Chen; Hong Chen; Zheng Li; Xiaofeng Liu; Wei Liu

This paper investigates the application of source-mask optimization (SMO) techniques for 28 nm logic device and beyond. We systematically study the impact of source and mask complexity on lithography performance. For the source, we compare SMO results for the new programmable illuminator (ASMLs FlexRay) and standard diffractive optical elements (DOEs). For the mask, we compare different mask-complexity SMO results by enforcing the sub-resolution assist feature (SRAF or scattering bar) configuration to be either rectangular or freeform style while varying the mask manufacturing rule check (MRC) criteria. As a lithography performance metric, we evaluate the process windows and MEEF with different source and mask complexity through different k1 values. Mask manufacturability and mask writing time are also examined. With the results, the cost effective approaches for logic device production are shown, based on the balance between lithography performance and source/mask (OPC/SRAF) complexity.


Proceedings of SPIE | 2014

Physical verification and manufacturing of contact/via layers using grapho-epitaxy DSA processes

J. Andres Torres; Kyohei Sakajiri; David Fryer; Yuri Granik; Yuansheng Ma; Polina Krasnova; Germain Fenger; Seiji Nagahara; Shinichiro Kawakami; Benjamen M. Rathsack; Gurdaman S. Khaira; Juan J. de Pablo; Julien Ryckaert

This paper extends the state of the art by describing the practical material’s challenges, as well as approaches to minimize their impact in the manufacture of contact/via layers using a grapho-epitaxy directed self assembly (DSA) process. Three full designs have been analyzed from the point of view of layout constructs. A construct is an atomic and repetitive section of the layout which can be analyzed in isolation. Results indicate that DSA’s main benefit is its ability to be resilient to the shape of the guiding pattern across process window. The results suggest that directed self assembly can still be guaranteed even with high distortion of the guiding patterns when the guiding patterns have been designed properly for the target process. Focusing on a 14nm process based on 193i lithography, we present evidence of the need of DSA compliance methods and mask synthesis tools which consider pattern dependencies of adjacent structures a few microns away. Finally, an outlook as to the guidelines and challenges to DSA copolymer mixtures and process are discussed highlighting the benefits of mixtures of homo polymer and diblock copolymer to reduce the number of defects of arbitrarily placed hole configurations.


Proceedings of SPIE | 2014

High-volume manufacturing equipment and processing for directed self-assembly applications

Mark Somervell; Takashi Yamauchi; Soichiro Okada; Tadatoshi Tomita; Takanori Nishi; Etsuo Iijima; T. Nakano; Takumi Ishiguro; Seiji Nagahara; Hiroyuki Iwaki; Makiko Dojun; Mariko Ozawa; Koichi Yatsuda; Toshikatsu Tobana; Ainhoa Romo Negreira; Doni Parnell; Shinchiro Kawakami; Makoto Muramatsu; Benjamen M. Rathsack; Kathleen Nafus; Jean-Luc Peyre; Takahiro Kitano

Directed Self-Assembly (DSA) is one of the most promising technologies for scaling feature sizes to 16 nm and below. Both line/space and hole patterns can be created with various block copolymer morphologies, and these materials allow for molecular-level control of the feature shapes—exactly the characteristics that are required for creating high fidelity lithographic patterns. Over the past five years, the industry has been addressing the technical challenges of maturing this technology by addressing concerns such as pattern defectivity, materials specifications, design layout, and tool requirements. Though the learning curve has been steep, DSA has made significant progress toward implementation in high-volume manufacturing. Tokyo Electron has been focused on the best methods of achieving high-fidelity patterns using DSA processing. Unlike other technologies where optics and photons drive the formation of patterns, DSA relies on surface interactions and polymer thermodynamics to determine the final pattern shapes. These phenomena, in turn, are controlled by the processing that occurs on clean-tracks, etchers, and cleaning systems, and so a host of new technology has been developed to facilitate DSA. In this paper we will discuss the processes and hardware that are emerging as critical enablers for DSA implementation, and we will also demonstrate the kinds of high fidelity patterns typical of mainstream DSA integrations.

Collaboration


Dive into the Seiji Nagahara's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

H. Mariette

Centre national de la recherche scientifique

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Tomoki Nagai

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

L. Marsal

Centre national de la recherche scientifique

View shared research outputs
Researchain Logo
Decentralizing Knowledge