Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Elmar Platzgummer is active.

Publication


Featured researches published by Elmar Platzgummer.


IEEE Transactions on Magnetics | 2002

Ion projection direct structuring for patterning of magnetic media

Andreas Dietzel; Rüdiger Berger; Hubert Grimm; Wilhelm H. Bruenger; Christian Dzionk; Florian Letzkus; Reinhard Springer; Hans Loeschner; Elmar Platzgummer; Gerhard Stengl; Zvonimir Z. Bandic; Bruce D. Terris

Ion projection facilitates a direct structuring, which is an attractive potential manufacturing process for patterned storage media. An advantage to this method is that the media roughness remains unchanged. The feasibility of ion projection direct structuring for processing full disk surfaces was investigated using a next generation lithography projector. Co-Pt multilayer films with strong perpendicular anisotropy were deposited on 1-in glass disks as used in the IBM microdrive and on Si substrates. Concentric tracks including data, as well as head positioning servo structures, were patterned in a single exposure step with 45 keV He/sup +/ at a 4 /spl times/ demagnification. In a second experiment, sub-100-nm magnetic islands were produced using projection at 8.7 /spl times/ demagnification and visualized by magnetic force microscopy.


Journal of Vacuum Science & Technology B | 2008

Projection maskless patterning for nanotechnology applications

Elmar Platzgummer; Hans Loeschner; Gerhard Gross

Projection maskless patterning (PMLP) is based on a programmable aperture plate system and on charged particle projection optics with 200× reduction, providing thousands of electron or ion (H+, He+, Ar+, Xe+, C60−) beams working in parallel on the substrate. As part of the European CHARPAN project a PMLP proof-of-concept tool has been realized. Using resolution templates, with 10keV H+ multibeams a resolution of 16nm lines and spaces was achieved in HSQ resist across the proof-of-concept tool 25×25μm2 exposure field at an exposure dose of 25μC∕cm2. Enhancing the dose by 10% there was <1nm increase in linewidth. With 10keV Ar+ multibeams resistless nanopatterning of various materials was accomplished. Inserting a wired programmable aperture plate system providing ∼4000 beams, first HSQ resist exposure and patterning results have been accomplished, implementing gray scale exposure techniques. The system is being upgraded to a PMLP engineering tool integrating an aperture plate system with complementary meta...


Journal of Micromechanics and Microengineering | 2011

Characterization of CMOS programmable multi-beam blanking arrays as used for programmable multi-beam projection lithography and resistless nanopatterning

Stefan Eder Kapl; Hans Loeschner; Walter Piller; Martin Witt; W. Pilz; Florian Letzkus; Michael Jurisch; Mathias Irmscher; Elmar Platzgummer

Multi-beam projection lithography and resistless direct nanopatterning techniques provide decisive advantages compared to existing single electron and ion beam tools. Aperture plate systems (APS) including CMOS addressable programmable blanking arrays serve as pattern generators within these tools. An APS test stand was designed to achieve 0.08 ?rad for measuring lateral/angular beamlet movements. For CMOS programmable APS units, providing 43?000 programmable beams, nearest-neighbour cross talk measurements have shown 5.5 ?rad influence. This is well below the target spec for the charged particle multi-beam projection technology.


Journal of Micro-nanolithography Mems and Moems | 2013

Electron multibeam technology for mask and wafer writing at 0.1 nm address grid

Elmar Platzgummer; Christof Klein; Hans Loeschner

Abstract. IMS Nanofabrication realized a 50 keV electron multibeam proof-of-concept (POC) tool confirming writing principles with 0.1 nm address grid and lithography performance capability. The POC system achieves the predicted 5 nm 1 sigma blur across the 82  μm×82  μm array of 512×512 (262,144) programmable 20 nm beams. 24-nm half pitch (HP) has been demonstrated and complex patterns have been written in scanning stripe exposure mode. The first production worthy system for the 11-nm HP mask node is scheduled for 2014 (Alpha), 2015 (Beta), and first-generation high-volume manufacturing multibeam mask writer (MBMW) tools in 2016. In these MBMW systems the max beam current through the column is 1 μA. The new architecture has also the potential for 1× mask (master template) writing. Substantial further developments are needed for maskless e-beam direct write (EBDW) applications as a beam current of >2  mA is needed to achieve 100 wafer per hour industrial targets for 300 mm wafer size. Necessary productivity enhancements of more than three orders of magnitude are only possible by shrinking the multibeam optics such that 50 to 100 subcolumns can be placed on the area of a 300 mm wafer and by clustering 10 to 20 multicolumn tools. An overview of current EBDW efforts is provided.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Large-field ion optics for projection and proximity printing and for maskless lithography (ML2)

Hans Loeschner; Gerhard Stengl; Herbert Buschbeck; Alfred Chalupka; Gertraud Lammer; Elmar Platzgummer; Herbert Vonach; Patrick W.H. de Jager; Rainer Kaesmaier; Albrecht Ehrmann; Stefan Hirscher; Andreas Wolter; Andreas Dietzel; R. Berger; Hubert Grimm; B. D. Terris; Wilhelm H. Bruenger; Dieter Adam; Michael Boehm; Hans Eichhorn; Reinhard Springer; Joerg Butschke; Florian Letzkus; Paul Ruchhoeft; John C. Wolfe

Recent studies carried out with Infineon Technologies have shown the utility of Ion Projection Lithography (IPL) for the manufacturing of integrated circuits. In cooperation with IBM Storage Technology Division the patterning of magnetic films by resist-less Ion Projection Direct Structuring (IPDS) has been demonstrated. With masked ion beam proximity techniques unique capabilities for lithography on non-planar (curved) surfaces are outlined. Designs are presented for a masked ion beam proximity lithography (MIBPL) exposure tool with sub - 20 nm resolution capability within 88 mmo exposure fields. The possibility of extremely high reduction ratios (200:1) for high-volume ion projection mask-less lithography (IP-ML2) is discussed.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

eMET: 50 keV electron mask exposure tool development based on proven multi-beam projection technology

Elmar Platzgummer; Stefan Cernusca; Christof Klein; Jan Klikovits; Samuel Kvasnica; Hans Loeschner

Multi-beam writing becomes mandatory for future technology nodes in order to stay within reasonable realization times for leading-edge complex masks and templates. IMS Nanofabrication has developed multi-beam projection techniques implementing a programmable aperture plate system (APS) and charged-particle projection optics with 200x reduction. Proof-of-concept of multi-beam writing on static substrates was demonstrated in 2009 using the CHARPAN tool with 10keV ion multi-beams and the RIMANA tool with 50keV electron multi-beams. For the first time projection multibeam writing on moving substrates is presented as made achievable by upgrading the CHARPAN Tool with a laserinterferometer controlled stage to realize a POWS (Proof-Of-Writing-Strategy) tool configuration. With the RIMANA Tool 50keV e-beam exposures of ILT (Inverse Lithography Technique) patterns are demonstrated.. The status of the development of a 50keV electron Mask Exposure Tool (eMET) is presented and the targeted writing speeds of eMET POC and eMET HVM systems are outlined.


Proceedings of SPIE | 2008

Projection maskless lithography (PML2): proof-of-concept setup and first experimental results

Christof Klein; Elmar Platzgummer; Hans Loeschner; G. Gross; P. Dolezel; M. Tmej; V. Kolarik; W. Klingler; Florian Letzkus; Joerg Butschke; Mathias Irmscher; M. Witt; W. Pilz

Projection Mask-Less Lithography (PML2) is a potentially cost-effective multi electron-beam solution for the 32nm-node and beyond. PML2 is targeted on using hundreds of thousands of individually addressable electron-beams working in parallel, thereby pushing the potential throughput into the wafers per hour regime. With resolution limits <10nm, PML2 is designed to meet the requirements of several upcoming tool generations. A PML2 proof-of-concept setup was realized within the framework of the European RIMANA project. It contains all crucial components of a full-fledged PML2 tool and unambiguously demonstrates the operability of multi electron-beam projection optics with 200x reduction. In the PML2 proof-of-concept system more than 2000 switchable beams are generated by a programmable aperture plate system (APS) and projected onto wafer level with 200x demagnification. Current density (~2 A/cm2) and total current (~10 pA) of each beam are the same as in future PML2 tools, resulting in a calculated base resolution below 10nm. The PML2 proof-of-concept column has been successfully tested using resolution templates, verifying 200x reduction and the predicted 22nm hp resolution capability. Furthermore, first custom designed 32nm hp structures were printed into resist coated Si wafers using an APS test-unit. Based on the inputs obtained by the PML2 proof-of-concept system and detailed electron-optical calculations, a fully industry-compatible PML2 Alpha-tool will be realized within the European MAGIC project. Together with the infrastructure developed within MAGIC, this PML2 Alpha-tool promises to herald the introduction of mask-less lithography into the industrial environment.


Proceedings of SPIE | 2013

Electron multi-beam technology for mask and wafer writing at 0.1nm address grid

Elmar Platzgummer; Christof Klein; Hans Loeschner

An overview of electron beam tool configurations is provided. The adoption of multi-beam writing is mandatory in order to fulfill industrial needs for 11nm HP nodes and below. IMS Nanofabrication realized a 50keV electron multibeam proof-of-concept (POC) tool confirming writing principles with 0.1nm address grid and lithography performance capability. The new architecture will be introduced for mask writing at first, but has also the potential for 1xmask (master template) and direct wafer writing. The POC system achieves the predicted 5nm 1sigma blur across the 82μm x 82μm array of 512 x 512 (262,144) programmable 20nm beams. 24nm HP has been demonstrated and complex patterns have been written in scanning stripe exposure mode. The first production worthy system for the 11nm HP mask node is scheduled for 2014 (Alpha), 2015 (Beta) and 1st generation HVM mask writer tools in 2016. Implementing a multi-axis column configuration, 50x / 100x productivity enhancements are possible for direct 300mm / 450mm wafer writing.


Journal of Vacuum Science & Technology B | 2009

Charged particle nanopatterning

Elmar Platzgummer; Hans Loeschner

Charged particle nanopatterning techniques based on electron and ion multibeam projection techniques are finding increased industrial interest for the fabrication of leading-edge complex masks, nanoimprint templates, and,—using maskless and resistless techniques,—for nanosystem device research and development. A proof-of-concept tool for ion projection multibeam nanopatterning has been realized. Inserting a programable aperture plate system with integrated 0.25μm complementary metal oxide semiconductor electronics, providing switchable beams of 2.5×2.5μm2 size, with 200× ion-optical reduction, 43 000 programmable beams of 12.5nm size were obtained, demonstrating 20nm resolution capability.


Journal of Micro-nanolithography Mems and Moems | 2003

Large-field particle beam optics for projection and proximity printing and for maskless lithography

Hans Loeschner; Gerhard Stengl; Herbert Buschbeck; Alfred Chalupka; Gertraud Lammer; Elmar Platzgummer; Herbert Vonach; Patrick W.H. de Jager; Rainer Kaesmaier; Albrecht Ehrmann; Stefan Hirscher; Andreas Wolter; Andreas Dietzel; Ru¨diger Berger; Hubert Grimm; B. D. Terris; Wilhelm H. Bruenger; Gerhard Gross; Olaf Fortagne; Dieter Adam; Michael Bo¨hm; Hans Eichhorn; Reinhard Springer; Joerg Butschke; Florian Letzkus; Paul Ruchhoeft; John C. Wolfe

Recent studies have shown the utility of ion projection lithography (IPL) for the manufacturing of integrated circuits. In addition, ion projection direct structuring (IPDS) can be used for resistless, noncontact modification of materials. In cooperation with IBM Storage Technology Division, ion projection patterning of magnetic media layers has been demonstrated. With masked ion beam proximity techniques, unique capabilities for lithography on nonplanar (curved) surfaces are outlined. Designs are presented for a masked ion beam proximity lithography (MIBL) and masked ion beam direct structuring (MIBS) tool with sub-20-nm resolution capability within 88-mm□ exposure fields. The possibility of extremely high reduction ratios (200:1) for high-volume projection maskless lithography (projection-ML2) is discussed. In the case of projection-ML2 there are advantages of using electrons instead of ions. Including gray scaling, an improved concept for a ⩽50-nm projection-ML2 system is presented with the potential to meet a throughput of 20 wafers per hour (300 mm).

Collaboration


Dive into the Elmar Platzgummer's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge