Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Gert J. Leusink is active.

Publication


Featured researches published by Gert J. Leusink.


Journal of Vacuum Science and Technology | 2011

Comparison of methods to determine bandgaps of ultrathin HfO2 films using spectroscopic ellipsometry

Ming Di; Eric Bersch; Alain C. Diebold; Steven Consiglio; Robert D. Clark; Gert J. Leusink; Torsten Kaack

With the replacement of SiO2 by high-k Hf-based dielectrics in complementary metal–oxide–semiconductor technology, the measurement of the high-k oxide bandgap is a high priority. Spectroscopic ellipsometry (SE) is one of the methods to measure the bandgap, but it is prone to ambiguity because there are several methods that can be used to extract a bandgap value. This paper describes seven methods of determining the bandgap of HfO2 using SE. Five of these methods are based on direct data inversion (point-by-point fitting) combined with a linear extrapolation, while two of the methods involve a dispersion model-based bandgap extraction. The authors performed all of these methods on a single set of data from a 40 A HfO2 film, as well as on data from 20 and 30 A HfO2 films. It was observed that the bandgap values for the 40 A film vary by 0.69 eV. In comparing these methods, the reasons for this variation are discussed. The authors also observed that, for each of these methods, there was a trend of increasing...


international electron devices meeting | 2012

Effective Schottky Barrier Height modulation using dielectric dipoles for source/drain specific contact resistivity improvement

K.-W. Ang; Kausik Majumdar; K. Matthews; Chadwin D. Young; C.R. Kenney; C. Hobbs; P. D. Kirsch; R. Jammy; Robert D. Clark; Steven Consiglio; Kandabara Tapily; Ying Trickett; Genji Nakamura; Cory Wajda; Gert J. Leusink; M. Rodgers; S. Gausepohl

We demonstrate statistically significant data for specific contact resistivity (ρ<sub>c</sub>) of sub-10<sup>-8</sup>Ω-cm<sup>2</sup> and sub-2×10<sup>-8</sup>Ω-cm<sup>2</sup> for N-type and P-type Si respectively on 300mm wafer by introducing ultra-thin ALD high-k dielectric layer(s) between the metal and Si. A 6-terminal Cross-Bridge Kelvin (6T-CBK) structure was used for the extraction to achieve excellent resolution in this small ρ<sub>c</sub> range. With the help of measurements from multiple dielectric stacks and Non-Equilibrium Greens Function (NEGF) based quantum transport calculations, we clearly show that the suppression of evanescent metal induced gap states (MIGS) and formation of interface dipole play significant role to reduce the ρ<sub>c</sub> as long as the tunneling resistance of the dielectric stack is small. Finally, transient response, break down mechanism and technology benchmarking are discussed which show promise for sub-14nm node applications.


Meeting Abstracts | 2011

Physical and Electrical Effects of the Dep-Anneal-Dep-Anneal (DADA) Process for HfO2 in High K/Metal Gate Stacks

Robert D. Clark; Shintaro Aoyama; Steve Consiglio; Genji Nakamura; Gert J. Leusink

The use of anneals between interspersed during hafnium oxide deposition to optimize electrical properties of the resulting films has recently been reported (1-4). In particular, Professor Toriumi’s group has reported anneals after every cycle (layer-by-layer deposition and anneal) to optimize ALD hafnium oxide films. We have extended this approach by performing multiple deposition cycles before each anneal to produce optimized hafnium oxide films in a process that is demonstrable and manufacturable on 300 mm wafers for advanced high K/metal gate stacks. The proposed mechanism for film growth on an SiO2 interlayer is illustrated in Figure 1. The electrical improvements observed in highly scaled gate first devices using this process have recently been reported (5,6).


Meeting Abstracts | 2012

Texturing and Tetragonal Phase Stabilization of ALD HfxZr1-xO2 Using a Cyclical Deposition and Annealing Scheme

Kandabara Tapily; Steven Consiglio; Robert D. Clark; R. Vasic; Eric Bersch; Jean Jordan-Sweet; Ilyssa Wells; Gert J. Leusink; Alain C. Diebold

As a key enabler in the continuation of CMOS device scaling, high-k HfO2-based dielectrics have recently replaced SiO2-based gate dielectrics in the 45 nm technology node and beyond. In order to further continue this scaling, improvements of key film properties, such as k-value and leakage current, are needed. The electrical properties of HfO2-based dielectrics may be enhanced by structural modifications, since the k-value is predicted to be dependent on crystalline phase (monoclinic k=16, tetragonal k=70 and cubic k=29) [1]. HfO2 is also known to suffer from threshold voltage shift and reliability issues [2]. In this regard, some recent reports have shown both tetragonal phase formation and improved reliability for HfO2 alloyed with ZrO2 [2,3]. Accordingly, we have deposited HfxZr1-xO2 films by atomic layer deposition (ALD) with different Hf/Zr ratios combined with a cyclical deposition and annealing scheme (termed DADA) in which an annealing is performed after every 20 ALD cycles. We have previously reported electrical improvement in HfO2 films grown using the cyclical deposition and annealing scheme over as-deposited and post-deposition annealed (PDA) films [4]. We have also shown the presence of monoclinic fiber texture in our HfO2 films grown by the same method in contrast to random grain orientation in PDA-processed films [5]. In this study, the physical and structural properties of these HfxZr1-xO2 films were investigated by XRR, XPS, TEM and XRD. Figure 1 shows the relationship between the density measured by XRR and the Zr metal cation % measured by XPS for 100 cycle ALD HfxZr1-xO2 films with varying Zr and Hf precursor pulse ratios. The density was observed to decrease linearly as the Zr % in the films was increased, which is consistent with the lower density of ZrO2 compared to HfO2. Figure 1 indicates that the Zr % can be well controlled by changing precursor pulse ratios in the ALD process. To investigate the crystallinity of the films as a function of Zr %, we performed grazing incidence in-plane X-ray diffraction (GIIXRD) and pole figure measurements using synchrotron radiation (NSLS X20A beamline*). Figure 2 shows the GIIXRD spectra taken for 100 cycle HfxZr1-xO2 films with different Zr %. The ALD HfxZr1-xO2 films with low Zr % show crystallization in the monoclinic (M) phase with strong inplane reflections from M{110} planes. However, it can be seen that the intensity of the M(110) peak reduces with increasing Zr %. For ALD ZrO2 films, the M(110) reflection is absent as the (220) peak due to the tetragonal (T) phase strengthens. This observation is consistent with pole figure measurements (Figure 3) which indicate an increase in T(111) preferred orientation for the HfxZr1-xO2 films with increasing Zr %. Figure 3 indicates a strong T(111) fiber texturing for ALD ZrO2 films while M(-111) fiber texture decreases with increasing Zr addition. Similarly to our previous study on HfO2 [5], the PDA films show no preferential orientation and were observed to result in a mixed phase of monoclinic, orthorhombic and/or tetragonal. In summary, we have successfully added ZrO2 into ALD HfO2 films using a cyclical deposition and annealing scheme. For high Zr %, the structural characterization showed stabilization of HfxZr1-xO2 into tetragonal phase with a preferred (111) orientation.


Journal of Applied Physics | 2010

Systematic study of the effect of La2O3 incorporation on the flatband voltage and Si band bending in the TiN/HfO2/SiO2/p-Si stack

Ming Di; Eric Bersch; Robert D. Clark; Steven Consiglio; Gert J. Leusink; Alain C. Diebold

Recent studies have shown that La2O3 films can be used to adjust the threshold voltage (Vt) of NMOS Hf-based high-k/metal gate devices to desirable values, and a dipole at the high-k/SiO2 interface has been proposed to explain the Vt shifts. In order to investigate the mechanism of the Vt shift further, we have measured the flatband voltage (Vfb) and Si band bending of technologically relevant TiN/HfO2/La2O3/SiO2/p-Si stacks where the thickness and position of the La2O3 layer have been systematically varied. We observed systematic changes in Vfb, Si band bending and the HfO2-Si valence band offset as a function of La2O3 layer thickness and position. These changes can be explained by a band alignment model that includes a dipole at the high-k/SiO2 interface, thus supporting the work of previous authors. In addition, we have derived the theoretical relationship between Vfb and Si band bending, which agrees well with our experimental measurements.


Applied Physics Letters | 2015

Impact of cyclic plasma treatment on oxygen vacancy defects in TiN/HfZrO/SiON/Si gate stacks

Nasir Uddin Bhuyian; S. Poddar; D. Misra; Kandabara Tapily; Robert D. Clark; Steven Consiglio; Cory Wajda; G. Nakamura; Gert J. Leusink

This work evaluates the defects in HfZrO as a function of Zr addition into HfO2 and when the dielectric was subjected to a slot-plane-antenna (SPA) plasma treatment in a cyclic process to form TiN/HfZrO/SiON/Si gate stacks. The defect energy levels, estimated by temperature-dependent current-voltage measurements, suggest that Zr addition in HfO2 modifies the charge state of the oxygen vacancy formation, V+. The influence of electron affinity variation of Hf and Zr ions on the charged oxygen vacancy levels seems to have contributed to the increase in defect activation energy, Ea, from 0.32 eV to 0.4 eV. The cyclic SPA plasma exposure further reduces the oxygen vacancy formation because of the film densification. When the dielectric was subjected to a constant voltage stress, the charge state oxygen vacancy formation changes to V2+ and improvement was eliminated. The trap assisted tunneling behavior, as observed by the stress induced leakage current characteristics, further supports the oxygen vacancy forma...


Meeting Abstracts | 2008

High-K Gate Dielectric Structures by Atomic Layer Deposition for the 32nm and Beyond Nodes

Robert D. Clark; Steve Consiglio; Cory Wajda; Gert J. Leusink; Takuya Sugawara; Hajime Nakabayashi; Hemanth Jagannathan; Lisa F. Edge; P. Jamison; Vamsi Paruchuri; Ryosuke Iijima; Mariko Takayanagi; Barry P. Linder; John Bruley; Matt Copel; Vijay Narayanan

TEL Technology Center, America, 255 Fuller Rd., Suite 244, Albany, NY 12203 TEL LPDC FEOL Group, 650 Mitsuzawa, Hosakacho, Niraski, Yamanashi, 407-0192, Japan IBM @ Albany Nanotech, 255 Fuller Rd., Suite 134, Albany, NY 12203 Toshiba America Electronic Components Inc. @ T. J. Watson Research Center, Yorktown Heights, NY 10598 IBM Research Division, T. J. Watson Research Center, Yorktown Heights, NY 10598


Meeting Abstracts | 2009

Engineering Band-Edge High-κ/Metal Gate n MOSFETs with Cap Layers Containing Group IIA and IIIB Elements by Atomic Layer Deposition

Hemanth Jagannathan; Lisa F. Edge; P. Jamison; Ryosuke Iijima; Vijay Narayanan; Vamsi Paruchuri; Robert D. Clark; Steven Consiglio; Cory Wajda; Gert J. Leusink

This paper presents studies performed in engineering high-k metal gate stacks by using capping layers containing Group IIA and IIIB elements. Both high-k gate dielectric (HfO2) and capping materials, namely, the oxides of barium, lanthanum and yttrium are deposited by atomic layer deposition (ALD) to offer superior process control and flexibility. Position specific insertion of cap layers into the gate stack is studied and the device tradeoffs are highlighted. The magnitude of Vt shift is correlated to the electronegativity of the cap layer species and its relative position in the gate stack. For a given cap position, BaO provides the maximum Vt shift, followed by La2O3 and Y2O3 caps. Ozone based ALD processes are shown to adversely impact Tinv scaling due to the re-growth of the interface layer between the high-k and the silicon substrate. Significant improvements in Tinv scaling are obtained by migrating to a water based ALD process.


symposium on vlsi technology | 2014

Statistical demonstration of silicide-like uniform and ultra-low specific contact resistivity using a metal/high-k/Si stack in a sidewall contact test structure

Kausik Majumdar; Robert D. Clark; T. Ngai; Kandabara Tapily; S. Consiglio; E. Bersch; K. Matthews; E. Stinzianni; Y. Trickett; G. Nakamura; Cory Wajda; Gert J. Leusink; H. Chong; V. Kaushik; J. C. Woicik; C. Hobbs; P. D. Kirsch

We demonstrate a 300mm wafer scale conformal contact process to achieve uniform ultra-low specific contact resistivity (ρ<sub>c</sub>) for metal/high-k/n<sup>+</sup>Si (MIS) contacts. To achieve conformal contacts, we use a sidewall TLM (STLM) test structure that helps to minimize current crowding effect and variability. A systematic study is provided by varying doping density (N<sub>D</sub>), high-k material (LaO<sub>x</sub>, ZrO<sub>x</sub> and TiO<sub>x</sub>) and high-k thickness (t<sub>d</sub>) to optimize ρ<sub>c</sub>. The obtained ρ<sub>c</sub> and its uniformity are found to be comparable with standard nickel silicide technology, with a possibility of further improvement by use of lower work-function metal.


Journal of Vacuum Science and Technology | 2012

Evaluation of high thermal stability cyclopentadienyl Hf precursors with H2O as a co-reactant for advanced gate logic applications

Steven Consiglio; Robert D. Clark; Genji Nakamura; Cory Wajda; Gert J. Leusink

For the purpose of extending the upper temperature limit of metallorganic atomic layer deposition, mixed ligand precursors containing cyclopentadienyl (Cp, C5H5) ligands have been shown to exhibitsuperior thermal stability compared to the widely adopted tetrakis(ethylmethylamino)hafnium (TEMAH) precursor while also possessing adequate vapor pressure characteristics for use in atomic layer deposition (ALD) processing. In order to prevent the deleterious oxidation of the underlying Si from O3 the use of a milder oxidant such as H2O is preferred. Accordingly in this study, we investigated ALD using the liquid precursors CpHf(NMe2)3 and (CpMe)2Hf(OMe)Me in the temperature range 305 – 410 °C with H2O as a co-reactant and compared the film growth and electrical properties with films deposited using a conventional TEMAH/H2O process at 305 °C as well as the same process with an optimized annealing scheme. The CpHf(NMe2)3/H2O process was observed toexhibit a growth-per-cycle (GPC) in the range 0.23 – 0.36 A/cycle ...

Collaboration


Dive into the Gert J. Leusink's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Alain C. Diebold

State University of New York System

View shared research outputs
Top Co-Authors

Avatar

D. Misra

New Jersey Institute of Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Eric Bersch

State University of New York System

View shared research outputs
Top Co-Authors

Avatar

Mdnasiruddin Bhuyian

New Jersey Institute of Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge