Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Golnaz Karbasian is active.

Publication


Featured researches published by Golnaz Karbasian.


IEEE Electron Device Letters | 2013

Quaternary Barrier InAlGaN HEMTs With

Ronghua Wang; Guowang Li; Golnaz Karbasian; Jia Guo; Bo Song; Yuanzheng Yue; Zongyang Hu; Oleg Laboutin; Yu Cao; Wayne Johnson; Gregory L. Snider; Patrick Fay; Debdeep Jena; Huili Grace Xing

Depletion-mode quaternary barrier In<sub>0.13</sub>Al<sub>0.83</sub> Ga<sub>0.04</sub>N high-electron-mobility transistors (HEMTs) with regrown ohmic contacts and T-gates on a SiC substrate have been fabricated. Devices with 40-nm-long footprints show a maximum output current density of 1.8 A/mm, an extrinsic dc transconductance of 770 mS/mm, and cutoff frequencies <i>fT</i>/<i>f</i><sub>max</sub> of 230/300 GHz at the same bias, which give a record-high value of √<i>fT</i> ·<i>f</i><sub>max</sub> = 263 GHz among all reported InAl(Ga)N barrier HEMTs. The device speed shows good scalability with gate length despite the onset of short-channel effects due to the lack of a back barrier. An effective electron velocity of 1.36 ×10<sup>7</sup> cm/s, which is comparable with that in the state-of-the-art deeply scaled AlN/GaN HEMTs, has been extracted from the gate-length dependence of <i>fT</i> for gate lengths from 100 to 40 nm.


Applied Physics Express | 2013

f_{T}/f_{\max}

Ronghua Wang; Guowang Li; Golnaz Karbasian; Jia Guo; Faiza Faria; Zongyang Hu; Yuanzheng Yue; Jai Verma; Oleg Laboutin; Yu Cao; Wayne Johnson; Gregory L. Snider; Patrick Fay; Debdeep Jena; Huili Xing

Depletion-mode high-electron-mobility transistors (HEMTs) with an 11 nm quaternary In0.13Al0.83Ga0.04N barrier and a 5 nm In0.05Ga0.95N channel on SiC substrates have been fabricated. The as-processed HEMT structure features a channel electron density of 2.08×1013 cm-2 and a mobility of 1140 cm2 V-1 s-1. A device with a 50-nm-long T-shaped gate shows a maximum output current density of 2.0 A/mm, a peak extrinsic DC transconductance of 690 mS/mm, and cut-off frequencies fT/fmax of 260/220 GHz at the same bias, representing a record high √fTfmax of 239 GHz for InGaN channel HEMTs.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2012

of 230/300 GHz

Golnaz Karbasian; Patrick Fay; Huili Xing; Debdeep Jena; Alexei O. Orlov; Gregory L. Snider

The properties of poly(methylglutarimide) (PMGI) when used as an electron beam resist are investigated. The results show that PMGI, when developed with a weak developer, xylenes, shows contrast higher than 12, which is comparable to the contrast achieved in cold developed poly(methylmethacrylate), and approximately twice as high as the recently achieved PMGI contrast using other developers. Using this high contrast polymer, sub 20 nm features with aspect ratios greater than 30:1 can be readily achieved. In addition to the superior positive tone behavior, this polymer behaves as a negative tone resist at higher exposure doses. Negative resist features as small as 20 nm can be fabricated when methyl isobutyl ketone is used to develop negative tone PMGI.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2015

InGaN Channel High-Electron-Mobility Transistors with InAlGaN Barrier and fT/fmax of 260/220 GHz

Golnaz Karbasian; Alexei O. Orlov; Gregory L. Snider

The fabrication is reported of nanodamascene metallic single electron transistors that take advantage of unique properties of chemical mechanical polishing and atomic layer deposition. Chemical mechanical polishing provides a path for tuning the dimensions of tunnel junctions by adjusting the polish time, surpassing the limits imposed by electron beam lithography and lift-off, while atomic layer deposition provides precise control over the thickness of the tunnel barrier and significantly increases the choices for barrier materials. Single-electron transistor operation of a prototype device was successfully demonstrated at T < 1 K.


joint international eurosoi workshop and international conference on ultimate integration on silicon | 2016

High aspect ratio features in poly(methylglutarimide) using electron beam lithography and solvent developers

Golnaz Karbasian; Alexei O. Orlov; Alexander S. Mukasyan; Gregory L. Snider

Single electron transistors (SET) featuring metal (Ni) electrodes and silicon nitride dielectric barriers prepared by atomic layer deposition are fabricated and tested. Electrical characterization of the devices reveals electrostatic energy parameters consistent with the parameters of the designed tunnel junctions. In addition, an analysis of temperature dependence of conductance confirms the formation of metal-insulator-metal (MIM) junctions with negligible in-series contribution of any surface native metal oxide. However, the fabricated devices exhibit a very high level of electrical noise, far exceeding the commonly observed shot noise. Experimental investigation reveals the random telegraph signal (RTS) nature of the observed excess noise. The RTS noise in electronic devices is commonly associated with charging of external traps that are electrostatically coupled to the SET island. In the devices under study, however, the defects that result in the observed RTS noise are demonstrated to reside within the tunnel junctions. Our results also indicate the critical importance of interface states and surface preparation for achieving good performance of the SETs fabricated using ALD to form the tunnel barrier.


Journal of Vacuum Science and Technology | 2016

Fabrication of nanodamascene metallic single electron transistors with atomic layer deposition of tunnel barrier

Michael S. McConnell; Louisa C. Schneider; Golnaz Karbasian; Sergei Rouvimov; Alexei O. Orlov; Gregory L. Snider

This work describes the fabrication of single electron transistors using electron beam lithography and atomic layer deposition to form nanoscale tunnel transparent junctions of alumina (Al2O3) on platinum nanowires using either water or ozone as the oxygen precursor and trimethylaluminum as the aluminum precursor. Using room temperature, low frequency conductance measurements between the source and drain, it was found that devices fabricated using water had higher conductance than devices fabricated with ozone. Subsequent annealing caused both water- and ozone-based devices to increase in conductance by more than 2 orders of magnitude. Furthermore, comparison of devices at low temperatures (∼4 K) showed that annealed devices displayed much closer to the ideal behavior (i.e., constant differential conductance) outside of the Coulomb blockade region and that untreated devices showed nonlinear behavior outside of the Coulomb blockade region (i.e., an increase in differential conductance with source-drain vol...


Journal of Vacuum Science and Technology | 2016

Single-electron transistors featuring silicon nitride tunnel barriers prepared by atomic layer deposition

Golnaz Karbasian; Michael S. McConnell; Alexei O. Orlov; Sergei Rouvimov; Gregory L. Snider

The authors report the use of plasma-enhanced atomic layer deposition (PEALD) to fabricate single-electron transistors (SETs) featuring ultrathin (≈1 nm) tunnel-transparent SiO2 in Ni-SiO2-Ni tunnel junctions. They show that, as a result of the O2 plasma steps in PEALD of SiO2, the top surface of the underlying Ni electrode is oxidized. Additionally, the bottom surface of the upper Ni layer is also oxidized where it is in contact with the deposited SiO2, most likely as a result of oxygen-containing species on the surface of the SiO2. Due to the presence of these surface parasitic layers of NiO, which exhibit features typical of thermally activated transport, the resistance of Ni-SiO2-Ni tunnel junctions is drastically increased. Moreover, the transport mechanism is changed from quantum tunneling through the dielectric barrier to one consistent with thermally activated resistors in series with tunnel junctions. The reduction of NiO to Ni is therefore required to restore the metal-insulator-metal (MIM) stru...


Journal of Vacuum Science and Technology | 2014

Atomic layer deposition of Al2O3 for single electron transistors utilizing Pt oxidation and reduction

Golnaz Karbasian; Patrick Fay; Huili Grace Xing; Alexei O. Orlov; Gregory L. Snider

In this article, the authors investigate chemical mechanical planarization (CMP) of gold. Our experiments show that the oxidizer concentration, hardness of the adhesion layer, and surfactants added to stabilize the slurry are the main factors determining the outcome of the process. A combination of 30% H2O2 solution and an alumina based slurry in 1:3 volumetric ratio along with added sodium dodecyl sulfate and poly(vinyl pyrrolidone) was successfully used to pattern gold in a CMP Damascene process. After fabricating inlaid gold structures with CMP, the authors observed that pattern density, as opposed to feature size, is the major factor in determining the amount of metal thinning in inlaid features. 10 μm lines at 5% density were thinned down by 40 nm, while 150 μm pads at 75% density were recessed by 20 nm. The authors believe that in this process, metal recess, that is a chemical effect, outweighs dishing, a feature-size dependent factor, in controlling the severity of metal thinning.


Applied Sciences | 2017

Experimental demonstration of single electron transistors featuring SiO2 plasma-enhanced atomic layer deposition in Ni-SiO2-Ni tunnel junctions

Golnaz Karbasian; Michael S. McConnell; Hubert C. George; Louisa C. Schneider; Matthew Filmer; Alexei O. Orlov; Alexei Nazarov; Gregory L. Snider


Nanotechnology | 2017

Chemical mechanical planarization of gold

Golnaz Karbasian; Michael S. McConnell; Alexei O. Orlov; Alexei Nazarov; Gregory L. Snider

Collaboration


Dive into the Golnaz Karbasian's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Patrick Fay

University of Notre Dame

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Guowang Li

University of Notre Dame

View shared research outputs
Top Co-Authors

Avatar

Jia Guo

University of Notre Dame

View shared research outputs
Top Co-Authors

Avatar

Ronghua Wang

University of Notre Dame

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Yuanzheng Yue

University of Notre Dame

View shared research outputs
Researchain Logo
Decentralizing Knowledge