Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Greg Denbeaux is active.

Publication


Featured researches published by Greg Denbeaux.


Proceedings of SPIE | 2008

Evaluation of EUV resist materials for use at the 32 nm half-pitch node

Thomas Wallow; Craig Higgins; Robert Brainard; Karen Petrillo; Warren Montgomery; Chiew-seng Koay; Greg Denbeaux; Obert Wood; Yayi Wei

The 2007 International Technology Roadmap for Semiconductors (ITRS)1 specifies Extreme Ultraviolet (EUV) lithography as one leading technology option for the 32nm half-pitch node, and significant world wide effort is being focused towards this goal. Readiness of EUV photoresists is one of the risk areas. In 2007, the ITRS modified performance targets for high-volume manufacturing EUV resists to better reflect fundamental resist materials challenges. For 32nm half-pitch patterning at EUV, a photospeed range from 5-30 mJ/cm2 and low-frequency linewidth roughness target of 1.7nm (3σ) have been specified. Towards this goal, the joint INVENT activity (AMD, CNSE, IBM, Micron, and Qimonda) at Albany evaluated a broad range of EUV photoresists using the EUV MET at Lawrence Berkeley National Laboratories (LBNL), and the EUV interferometer at the Paul Scherrer Institut (PSI), Switzerland. Program goals targeted resist performance for 32nm and 22nm groundrule development activities, and included interim relaxation of ITRS resist performance targets. This presentation will give an updated review of the results. Progress is evident in all areas of EUV resist patterning, particularly contact/via and ultrathin resist film performance. We also describe a simplified figure-of-merit approach useful for more quantitative assessment of the strengths and weaknesses of current materials.


Proceedings of SPIE | 2009

RLS tradeoff vs. quantum yield of high PAG EUV resists

Craig Higgins; Alin Antohe; Greg Denbeaux; Seth Kruger; Jacque Georger; Robert Brainard

The effect of higher film quantum yields (FQYs) on the resolution, line-edge roughness, and sensitivity (RLS) tradeoff was evaluated for extreme ultraviolet (EUV, 13.5 nm) photoresists. We determined the FQY of increasingly high levels of an iodonium photoacid generator (PAG) using two acid detection methods. First, base titration methods were used to determine C-parameters for acid generation, and second, an acid-sensitive dye (Coumarin-6) was used to determine the amount of acid generated and ultimately, to determine absorbance and FQYs for both acid detection methods. The RLS performance of photoresists containing increasing levels of PAG up to ultrahigh loadings (5-40 wt% PAG) was evaluated. RLS was characterized using two methods: • KLUP resist performance •Z-Parameter (Z = LER2*Esize*Resolution3)


Proceedings of SPIE | 2007

The rational design of polymeric EUV resist materials by QSPR modelling

Kevin S. Jack; Heping Liu; Idriss Blakey; David J. T. Hill; Wang Yueh; Heidi Cao; Michael J. Leeson; Greg Denbeaux; Justin Waterman; Andrew K. Whittaker

We present the initial results of the development of a qualitative structure property relationship (QSPR) model to guide in the design and synthesis of high-sensitivity, non-CAR materials for EUV lithography. The model was developed using the fragmentation data of low molecular weight species at 70 eV using a mass spectrometer (MS) with an electron ionization source as the input parameter. The preliminary model has highlighted a number of structural elements which will be important in the future design of resists, however, limitations with the current set of input data for molecules which fragment readily have been identified and these are currently being addressed. Additionally, a correlation between &ggr; (1 MeV) and EUV (92 eV) radiolysis of selected polymers has been established and it is proposed that the higher energy (1 MeV) irradiation source is a suitable model process for EUV and can, therefore, be used in the future screening of polymeric materials.


Proceedings of SPIE | 2008

EUV optics contamination studies in presence of selected hydrocarbons

Rashi Garg; Andrea Wüest; Eric M. Gullikson; Sasa Bajt; Greg Denbeaux

One of the remaining challenges for the commercialization of EUV lithography is the lifetime of the Mo/Si multilayer optics and masks. The lifetime is dominated by carbon contamination on the surfaces of the optics, which is caused by residual hydrocarbons in the vacuum chamber when optics are exposed to EUV radiation. One of the possible sources of the hydrocarbons in the chamber is resist outgassing. To be able to understand which type of hydrocarbons are harmful to EUV mirror reflectivity, three hydrocarbon species - benzene, tert-butanol and diphenyl sulfide - which are thought to be representative of commonly outgassed species from EUV photoresist were selected. The goal of this work was to measure the contamination rate from these three species and to be able to draw conclusions about other species. The results of the experiments showed that after 8 hours of exposure there was not enough contamination to be significantly measurable. In addition to these hydrocarbon species, we also used vacuum grease and carbon tape as an outgassing source for hydrocarbons. Comparatively, high contamination rates were achieved with vacuum grease and carbon tape.


Journal of Vacuum Science & Technology B | 2007

Are extreme ultraviolet resists ready for the 32nm node

Karen Petrillo; Yayi Wei; Robert L. Brainard; Greg Denbeaux; Dario L. Goldfarb; Chiew-seng Koay; Jeff Mackey; Warren Montgomery; W. Pierson; Tom Wallow; O. R. Wood

The International Technology Roadmap for Semiconductors (ITRS) insertion point of extreme ultraviolet (EUV) lithography is the 32nm half-pitch node, and significant worldwide effort is being focused toward this goal. Potential road blocks have been identified and are being addressed. Readiness of EUV photoresists is one of the risk areas. According to the ITRS (www.itrs.net), a production-worthy EUV resist at 32nm half-pitch has to have a photospeed of ∼5mJ∕cm2 and line edge roughness (3σ) of 1.4nm. Toward this goal, the joint INVENT activity (AMD, CNSE, IBM, Micron, and Qimonda) at Albany has evaluated a broad range of EUV photoresists on various EUV exposure tools worldwide, including EUV MET at Lawrence Berkeley National Laboratory, EUV MET at SEMATECH Albany, and EUV interferometer at the Paul Scherrer Institute, Switzerland. This article will give a survey of the results, assessing the strengths and weaknesses of current materials.


Proceedings of SPIE | 2007

Initial experience establishing an EUV baseline lithography process for manufacturability assessment

Obert Wood; D. Back; Robert Brainard; Greg Denbeaux; Dario L. Goldfarb; Frank Goodwin; John G. Hartley; Kurt R. Kimmel; Chiew-seng Koay; B. La Fontaine; Jeff Mackey; Brian Martinick; Warren Montgomery; Patrick Naulleau; Uzodinma Okoroanyanwu; Karen Petrillo; Bill Pierson; Michael Tittnich; Sven Trogisch; Tom Wallow; Yayi Wei

The International Venture for Nanolithography (INVENT) initiative announced in mid 2005, a unique industry-university consortium between the College of Nanoscale Science and Engineering at Albany and a group of leading edge integrated device manufacturers, has launched an extensive R&D program on EUV lithography (EUVL). The overall scope of the INVENT EUVL program, the status of our efforts to establish a baseline lithography process on a full-field EUVL scanner, and our progress in evaluating EUV resist materials, in designing a custom reticle for scanner characterization and in developing an actinic EUV mask imaging microscope, are discussed.


Proceedings of SPIE | 2009

Assumptions and trade-offs of extreme ultraviolet optics contamination modeling

Vibhu Jindal; Rashi Garg; Greg Denbeaux; Andrea Wüest

Extreme ultraviolet (EUV) lithography is one of the most promising candidates for device patterning at the 22 nm halfpitch node. The contamination of extreme ultraviolet optics has consistently been listed among the top challenges for the commercialization of EUV lithography. In a lithography exposure tool under radiation exposure, the two main mechanisms that degrade reflectivity of EUV molybdenum/silicon multilayer optics are carbonization and oxidation. The accumulation of carbon on the mirror surfaces is a consequence of residual hydrocarbons and/or other carbon containing molecules, while oxidation is likely due to water vapor. Theoretical and numerical modeling of EUV optics and mask contamination kinetics can provide valuable insight into reaction mechanisms and help identify favorable conditions that suppress contamination accumulation. However, the complexity of the underlying surface chemistry currently renders obtaining predictive quality challenging. We investigate the validity of different model assumptions and present preliminary numerical results on the dependence of contamination rates on key parameters including the effect of out-of-band radiation.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

A year in the life of an immersion lithography alpha tool at Albany NanoTech

Michael Tittnich; John G. Hartley; Greg Denbeaux; Uzo Okoroanyanwu; Harry J. Levinson; Karen Petrillo; Christopher F. Robinson; Dario Gil; Dan Corliss; David Back; Stefan Brandl; Christian Schwarz; Frank Goodwin; Yayi Wei; Brian Martinick; Richard T. Housley; Peter A. Benson; Kevin Cummings

Immersion Lithography continues to get more and more attention as a possible solution for the 45nm technology node puzzle. In 2005, there has, indeed, been a lot of progress made. It has gone from a laboratory curiosity to being one of the industrys prime contenders for the lithography technology of choice for the 45nm node. Yet a lot of work remains to be done before its fully implemented into production. Today, there are over a dozen full field immersion scanners in R&D and pilot lines all around the world. The first full field, pre-production Alpha version of the ASML Twinscan AT 1150i was delivered to Albany NanoTech in August, 2004. A consortium made up of AMD, IBM, Infineon, and Micron Technology began early evaluation of immersion technology and in December of 2004, the production of the worlds first Power PC microprocessor using immersion lithography, processed on this tool, was announced by IBM. This paper will present a summary of some of the work that was done on this system over the past year. It will also provide an overview of Albany NanoTech, the facility, its capabilities, and the programs in place. Its operating model, which is heavily focused on cooperative joint ventures, is described. The immersion data presented is a review of the work done by AMD, IBM, Infineon Technologies, and Micron Technology, all members of the INVENT Lithography Consortium in place at Albany NanoTech. All the data was published and presented by the authors in much more detail at the 2005 International Symposium on Immersion Lithography, in Bruges, Belgium.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Quantitative measurement of EUV resist outgassing

Greg Denbeaux; Rashi Garg; Justin Waterman; Chimaobi Mbanaso; Jeroen Netten; Robert Brainard; Yu-Jen Fan; Leonid Yankulin; Alin Antohe; Kevin DeMarco; Molly Jaffe; Matthew Waldron; Kim Dean

The Mo/Si multilayer mirrors used for extreme ultraviolet (EUV) lithography can become contaminated during exposure in the presence of some hydrocarbons [1-3]. Because this leads to a loss in the reflectivity of the optics and throughput of the exposure tools, it needs to be avoided. Since photoresists are known to outgas during exposure to EUV radiation in a vacuum environment, the careful choice of materials is important to preserving the EUV optics. Work therefore has been performed to measure the species and quantities of molecules that outgas from EUV resists when exposed to EUV radiation [4-7].


Proceedings of SPIE | 2012

Investigation of EUV haze defect: molecular behaviors of mask cleaning chemicals on EUV mask surfaces

Jaehyuck Choi; Steve Novak; Yudhishthir Kandel; Greg Denbeaux; Han-Shin Lee; Andy Ma; Frank Goodwin

Photo-induced defects (or haze defects) on 193nm optic masks (haze defects) have been a serious problem not only to reticle engineers working for mask manufacturing and handling but also to photo-lithography engineers. The most widely accepted explanation of the root causes of haze defects is the cleaning chemical residues remaining on the mask surface and unavoidable outgassed molecules that outgas from pellicle materials when exposed to 193nm radiation. These have been significant challenges for reticle cleaning engineers who need to use cleaning chemicals whose residues do not lead to progressive defect formation on the mask and to find improved materials to minimize pellicle outgassing. It is assumed that contamination generation on EUV masks would have a higher probability than on optic masks, primarily since EUV masks are not protected by a pellicle and amorphous carbon films can accumulate during exposure to EUV light. While there is potential to mitigate the generation of carbon contamination by improving the exposure tool environment and removing carbon films using in-situ atomic hydrogen cleaning, it is not yet clear whether the reaction of mask cleaning chemicals to EUV radiation will lead to creation of progressive defects on EUV mask surfaces. With the work to being done it has been observed that carbon contamination on EUV masks dominates any effects of solvent chemicals under normal environmental or exposure conditions (from atmospheric pressure up to a vacuum level of 10-6 Torr) during EUV exposure. However, it is still unknown whether residual cleaning chemicals will provide a nucleus for progressive defect formation during exposure. This lack of understanding needs to be addressed by the industry as EUV masks are expected to undergo more frequent cleaning cycles. In this work, we will report on an investigation of the molecular behavior of cleaning chemicals on EUV mask surfaces during EUV exposure. Movement (e.g., migration or aggregation) of cleaning chemical molecules near EUV exposure spots on the top surface and beneath the mask will be examined under high vacuum (~10-8 Torr). We will also investigate whether EUV exposure can trigger the evaporation of cleaning chemical residues from the EUV mask surface, possibly contaminating the exposure environment. Better understanding of the influences of the mask cleaning chemicals during exposure, coupled with knowledge about mask tolerance and patterning performance affected by the cleaning chemicals, should enable the proper selection of mask cleaning processes and chemicals to meet EUV requirements.

Collaboration


Dive into the Greg Denbeaux's collaboration.

Top Co-Authors

Avatar

Yayi Wei

Chinese Academy of Sciences

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Obert Wood

Advanced Micro Devices

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge