Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Andrea Wüest is active.

Publication


Featured researches published by Andrea Wüest.


Proceedings of the National Academy of Sciences of the United States of America | 2006

Monitoring molecular dynamics using coherent electrons from high harmonic generation

Nicholas L. Wagner; Andrea Wüest; Ivan P. Christov; Tenio Popmintchev; Xibin Zhou; Margaret M. Murnane; Henry C. Kapteyn

We report a previously undescribed spectroscopic probe that makes use of electrons rescattered during the process of high-order harmonic generation. We excite coherent vibrations in SF6 using impulsive stimulated Raman scattering with a short laser pulse. A second, more intense laser pulse generates high-order harmonics of the fundamental laser, at wavelengths of ≈20–50 nm. The high-order harmonic yield is observed to oscillate, at frequencies corresponding to all of the Raman-active modes of SF6, with an asymmetric mode most visible. The data also show evidence of relaxation dynamics after impulsive excitation of the molecule. Theoretical modeling indicates that the high harmonic yield should be modulated by both Raman and infrared-active vibrational modes. Our results indicate that high harmonic generation is a very sensitive probe of vibrational dynamics and may yield more information simultaneously than conventional ultrafast spectroscopic techniques. Because the de Broglie wavelength of the recolliding electron is on the order of interatomic distances, i.e., ≈1.5 Å, small changes in the shape of the molecule lead to large changes in the high harmonic yield. This work therefore demonstrates a previously undescribed spectroscopic technique for probing ultrafast internal dynamics in molecules and, in particular, on the chemically important ground-state potential surface.


Optics Express | 2008

4X reduction extreme ultraviolet interferometric lithography.

Artak Isoyan; Andrea Wüest; John F. Wallace; F. Jiang; F. Cerrina

We report the initial results from a 4X reduction interferometric lithography technique using extreme ultraviolet (EUV) radiation from a new undulator on the Aladdin storage ring at the Synchrotron Radiation Center of the University of Wisconsin-Madison. We have extended traditional interferometric lithography by using 2(nd) diffraction orders instead of 1(st) orders. This change considerably simplifies mask fabrication by reducing the requirements for mask resolution. Interferometric fringes reduced by 4X (from 70 nm half-period grating to 17.5 nm) have been recorded in a 50 nm thick hydrogen silsesquioxane photoresist using 13.4 nm wavelength EUV radiation.


Proceedings of SPIE | 2008

Photons, electrons, and acid yields in EUV photoresists: a progress report

Robert Brainard; Elsayed Hassanein; Juntao Li; Piyush Pathak; Brad Thiel; F. Cerrina; Richard Moore; Miguel Rodriguez; Boris V. Yakshinskiy; E. Loginova; Theodore E. Madey; Richard Matyi; Matt Malloy; Andrew C. Rudack; Patrick Naulleau; Andrea Wüest; Kim Dean

This paper describes our initial investigation into building a greater understanding of the complex mechanism occurring during extreme ultraviolet (EUV) exposure of resist materials. In particular, we are focusing on the number and energy of photoelectrons generated and available for reaction with photoacid generators (PAGs). We propose that this approach will best enable the industry to develop resists capable of meeting resolution, line width roughness (LWR), and sensitivity requirements.


Proceedings of SPIE | 2008

EUV optics contamination studies in presence of selected hydrocarbons

Rashi Garg; Andrea Wüest; Eric M. Gullikson; Sasa Bajt; Greg Denbeaux

One of the remaining challenges for the commercialization of EUV lithography is the lifetime of the Mo/Si multilayer optics and masks. The lifetime is dominated by carbon contamination on the surfaces of the optics, which is caused by residual hydrocarbons in the vacuum chamber when optics are exposed to EUV radiation. One of the possible sources of the hydrocarbons in the chamber is resist outgassing. To be able to understand which type of hydrocarbons are harmful to EUV mirror reflectivity, three hydrocarbon species - benzene, tert-butanol and diphenyl sulfide - which are thought to be representative of commonly outgassed species from EUV photoresist were selected. The goal of this work was to measure the contamination rate from these three species and to be able to draw conclusions about other species. The results of the experiments showed that after 8 hours of exposure there was not enough contamination to be significantly measurable. In addition to these hydrocarbon species, we also used vacuum grease and carbon tape as an outgassing source for hydrocarbons. Comparatively, high contamination rates were achieved with vacuum grease and carbon tape.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Predicting lithography costs -guidance for ≤ 32 nm patterning solutions

Andrew J. Hazelton; Andrea Wüest; Greg Hughes; Michael Lercel

Extending lithography to 32 nm and 22 nm half pitch requires the introduction of new lithography technologies, such as EUVL or high-index immersion, or new techniques, such as double patterning. All of these techniques introduce large changes into the single exposure immersion lithography process as used for the 45 nm half pitch node. Therefore, cost per wafer is a concern. In this paper, total patterning costs are estimated for the 32 nm and 22 nm half pitch nodes through the application of cost-of-ownership models based on the tool, mask, and process costs. For all cases, the cost of patterning at 32 nm half pitch for critical layers will be more expensive than in prior generations. Mask costs are observed to be a significant component of lithography costs even up to a mask usage of 10,000 wafers/mask in most cases. The more simple structure of EUVL masks reduces the mask cost component and results in EUVL being the most cost-effective patterning solution under the assumptions of high throughput and good mask blank defect density.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Mask and Wafer Cost of Ownership (COO) from 65 to 22 nm Half-Pitch Nodes

Greg Hughes; Lloyd C. Litt; Andrea Wüest; Shyam Palaiyanur

Anticipating the cost of ownership (COO) of different lithography approaches into the future is an act of faith. It requires that one believe that all of the lithographic problems with next generation lithography (NGL) approaches will be sufficiently resolved to support the production of manufacturing wafers. This paper assumes that all of the necessary technologies will be available in the future and that the cost of the components can be extrapolated from historic cost trends. Mask and wafer costs of a single critical lithography layer for the 65, 45, 32 and 22 nm half-pitch (HP) nodes will be compared for immersion, double process (DP), double expose (DE), extreme ultraviolet (EUV), and imprint technologies. The mask COO analysis assumes that the basic yield of an optical mask is constant from node to node and that the infrastructure that allows this performance will be in place when the technologies are needed. The primary differences in mask costs among lithography approaches are driven by the patterning write time and materials. The wafer COO is driven by the mask cost (for the low wafer-per-mask use case), the lithography tool cost, and the effective wafers per hour (wph) for the lithography approach being considered.


Proceedings of SPIE | 2009

EUV resist outgassing: scaling to HVM intensity

Alin Antohe; Chimaobi Mbanaso; Yu-Jen Fan; Leonid Yankulin; Rashi Garg; Petros Thomas; Gregory Denbeaux; Emil Piscani; Andrea Wüest

Typical extreme ultraviolet (EUV) photoresist is known to outgas carbon-containing molecules, which is of particular concern to the industry as these molecules tend to contaminate optics and diminish reflectivity. This prompted extensive work to measure these species and the quantities that they outgas in a vacuum environment. Experiments were performed to test whether the outgassing rate of these carbon-containing molecules is directly proportional to the rate at which the EUV photons arrive and whether a very high power exposure will cause the same amount of outgassing as a much lower power exposure with the dose unchanged.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Cost of ownership for future lithography technologies

Andrew J. Hazelton; Andrea Wüest; Greg Hughes; Lloyd C. Litt; Frank Goodwin

The cost of ownership (COO) of candidate technologies for 32 nm and 22 nm half-pitch lithography is calculated. To more accurately compare technologies with different numbers of process steps, a model that includes deposition, etching, metrology, and other costs is created. Results show lithography COO for leading edge layers will increase by roughly 50% from the 45 nm to the 32 nm half-pitch nodes. Double patterning and extreme ultraviolet lithography (EUVL) technologies have roughly the same COO under certain conditions. For 22 nm half-pitch nodes, EUVL has a significant cost advantage over other technologies under certain mask cost assumptions. Double patterning, however, may be competitive under worst case EUVL mask cost assumptions. Sensitivity studies of EUVL COO to throughput and uptime show EUVL may be cost-competitive at lower uptime and throughput conditions. In spite of these higher costs, total lithography costs for 32 nm and 22 nm half-pitches remain within reach of the Moores Law trend. Finally, the COO of 450 mm lithography is calculated and shows the expected cost reduction is between 0% and 15%.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2010

Effect of carbon contamination on the printing performance of extreme ultraviolet masks

Yu-Jen Fan; Leonid Yankulin; Alin Antohe; Petros Thomas; Chimaobi Mbanaso; Rashi Garg; Yunfei Wang; Andrea Wüest; Frank Goodwin; Sungmin Huh; Patrick P. Naulleau; Kenneth A. Goldberg; Iacopo Mochi; G. Denbeaux

Carbon contamination is a significant issue with extreme ultraviolet (EUV) masks because it lowers throughput and has potential effects on imaging performance. Current carbon contamination research is primarily focused on the lifetime of the multilayer surfaces, determined by reflectivity loss and reduced throughput in EUV exposure tools. However, contamination on patterned EUV masks can cause additional effects on absorbing features and can affect the printed images. In this work, various carbon contamination experiments were performed to study the impact between contamination topography and observed imaging performance. Lithographic simulation using calculated aerial images and experimentally determined resist parameters was performed and compared to the printing results to estimate the allowed carbon thickness with critical dimension compensation applied to the mask.


Proceedings of SPIE | 2009

Estimation of cost comparison of lithography technologies at the 22-nm half-pitch node

Andrea Wüest; Andrew J. Hazelton; Greg Hughes

The cost of ownership (CoO) of candidate technologies for 22 nm half-pitch lithography is calculated. To more accurately compare technologies with different numbers of process steps, a model that includes deposition, etching, metrology, and other costs is created. For 22 nm half-pitch nodes, extreme ultraviolet lithography (EUVL) has a significant cost advantage over other technologies under certain mask cost assumptions. Double patterning, however, may be competitive under worst-case EUVL mask cost assumptions. Sensitivity studies of EUVL CoO to throughput and uptime show EUVL may be cost-competitive at lower uptime and throughput conditions. Finally, calculation of the CoO of 450 mm lithography shows that the expected cost reduction is between 0% and 15%.

Collaboration


Dive into the Andrea Wüest's collaboration.

Top Co-Authors

Avatar

Henry C. Kapteyn

University of Colorado Boulder

View shared research outputs
Top Co-Authors

Avatar

Margaret M. Murnane

University of Colorado Boulder

View shared research outputs
Top Co-Authors

Avatar

Eric M. Gullikson

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Iacopo Mochi

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Tenio Popmintchev

University of Colorado Boulder

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge