Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Obert Wood is active.

Publication


Featured researches published by Obert Wood.


Proceedings of SPIE | 2012

Insertion strategy for EUV lithography

Obert Wood; John C. Arnold; Timothy A. Brunner; Martin Burkhardt; James Chen; Deniz E. Civay; Susan S.-C. Fan; Emily Gallagher; Scott Halle; Ming He; Craig Higgins; Hirokazu Kato; Jongwook Kye; Chiew-seng Koay; Guillaume Landie; Pak Leung; Gregory McIntyre; Satoshi Nagai; Karen Petrillo; Sudhar Raghunathan; Ralph Schlief; Lei Sun; Alfred Wagner; Tom Wallow; Yunpeng Yin; Xuelian Zhu; Matthew E. Colburn; Daniel Corliss; Cecilia C. Smolinski

The first use of extreme ultraviolet (EUV) lithography in logic manufacturing is targeted for the 14 nm node, with possible earlier application to 20-nm node logic device back-end layers to demonstrate the technology. Use of EUV lithography to pattern the via-levels will allow the use of dark-field EUV masks with low pattern densities and will postpone the day when completely defect-free EUV mask blanks are needed. The quality of the imaging at the 14 nm node with EUV lithography is considerably higher than with double-dipole or double-exposure double-etch 193-nm immersion lithography, particularly for 2-dimensional patterns such as vias, because the Rayleigh k1-value when printing with 0.25 numerical aperture (NA) EUV lithography is so much higher than with 1.35 NA 193-nm immersion lithography and the process windows with EUV lithography are huge. In this paper, the status of EUV lithography technology as seen from an end-user perspective is summarized and the current values of the most important metrics for each of the critical elements of the technology are compared to the values needed for the insertion of EUVL into production at the 14 nm technology node.


Proceedings of SPIE | 2010

EUV lithography at the 22nm technology node

Obert Wood; Chiew-seng Koay; Karen Petrillo; Hiroyuki Mizuno; Sudhar Raghunathan; John C. Arnold; Dave Horak; Martin Burkhardt; Gregory McIntyre; Yunfei Deng; Bruno La Fontaine; Uzo Okoroanyanwu; Tom Wallow; Guillaume Landie; Theodorus E. Standaert; Sean D. Burns; Christopher J. Waskiewicz; Hirohisa Kawasaki; James Chen; Matthew E. Colburn; Bala Haran; Susan S.-C. Fan; Yunpeng Yin; Christian Holfeld; Jens Techel; Jan-Hendrik Peters; Sander Bouten; Brian Lee; Bill Pierson; Bart Kessels

We are evaluating the readiness of extreme ultraviolet (EUV) lithography for insertion into production at the 15 nm technology node by integrating it into standard semiconductor process flows because we believe that device integration exercises provide the truest test of technology readiness and, at the same time, highlight the remaining critical issues. In this paper, we describe the use of EUV lithography with the 0.25 NA Alpha Demo Tool (ADT) to pattern the contact and first interconnect levels of a large (~24 mm x 32 mm) 22 nm node test chip using EUV masks with state-of-the-art defectivity (~0.3 defects/cm2). We have found that: 1) the quality of EUVL printing at the 22 nm node is considerably higher than the printing produced with 193 nm immersion lithography; 2) printing at the 22 nm node with EUV lithography results in higher yield than double exposure double-etch 193i lithography; and 3) EUV lithography with the 0.25 NA ADT is capable of supporting some early device development work at the 15 nm technology node.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2014

Experimental measurements of telecentricity errors in high-numerical-aperture extreme ultraviolet mask images

Sudharshanan Raghunathan; Obert Wood; Pawitter Mangat; Erik Verduijn; Vicky Philipsen; Eric Hendrickx; Rik Jonckheere; Kenneth A. Goldberg; Markus P. Benk; Patrick A. Kearney; Zachary Levinson; Bruce W. Smith

Nontelecentric illumination in extreme ultraviolet (EUV) lithography leads to pattern shifts through focus called telecentricity errors. As the industry moves toward finer pitch structures and higher numerical apertures (NA) to improve resolution, the effects of telecentricity errors become more significant. These telecentricity errors are dependent on pattern pitch, pattern type, lens aberrations, mask stack, to name a few. In this paper, a novel technique to measure telecentricity errors using EUV mask images from an actinic mask inspection tool, called the SEMATECH High NA Actinic Reticle Review Project (SHARP) is presented. SHARP is SEMATECHs second generation actinic mask imaging tool developed by Lawrence Berkeley National Laboratory. The SHARP can image masks at different numerical aperture settings, even beyond the currently available scanner NA of 0.33 (high-NA EUV) and also has a set of programmable illuminator choices. A tuned multilayer EUV mask blank was fabricated with test structures optim...


Proceedings of SPIE | 2009

Modeling and experiments of non-telecentric thick mask effects for EUV lithography

Gregory McIntyre; Chiew-seng Koay; Martin Burkhardt; Hiro Mizuno; Obert Wood

Various issues related to non-telecentric mask effects for EUV lithography will be discussed in this paper. First, a raytracing approach will provide a conceptual description as to the nature of non-telecentric thick mask effects, highlighting the behavior of various edge types and corners. Rigorous modeling of these effects are discussed along with a few consequences of improper modeling. A series of simulation and experimental studies are presented to probe both the one- and two-dimensional impact of thick mask effects. It will be shown that a simple constant edge bias appears sufficient for 1D features, but begins to break down when space-widths are less than about 45 nm. Investigation into the impact of corners and small 2D features indicates that a simple edge-based bias also breaks down for edge lengths less than about 60nm. A sample set of rules-based post-OPC HV corrections for 22nm node dimensions are proposed, although based on experimental results, it is concluded that more accurate resist modeling and scanner stability are required before being able to precisely predict and control HV effects. Finally, with some simplifying assumptions, simulation is used to predict the extent of potential HV effects of future EUV imaging systems.


Proceedings of SPIE | 2015

Considerations for a free-electron laser-based extreme-ultraviolet lithography program

Erik R. Hosler; Obert Wood; William A. Barletta; Pawitter Mangat; Moshe Preil

Recent years have seen great strides in the development of extreme ultraviolet (EUV) laser-produced plasma sources. Field deployed EUV exposure tools are now capable of facilitating advanced technology node development. Nevertheless, as the required manufacturing exposure dose scales, EUV sources must follow suit and provide 500- 1000 W to maintain production throughputs. A free-electron laser (FEL) offers a cost effective, single-source alternative for powering an entire EUV lithography program. FEL integration into semiconductor fab architecture will require both unique facility considerations as well as a paradigm shift in lithography operations. Critical accelerator configurations relating to energy recovery, multi-turn acceleration, and operational mode are discussed from engineering/scientific, cost-minimization, and safety perspectives. Furthermore, the individual components of a FEL (electron injector, RF systems, undulator, etc.) are examined with respect to both design and cost, considering existing technology as well as prospective innovations. Finally, FEL development and deployment roadmaps are presented, focusing on manufacturer deployment for the 5 nm or 3 nm technology nodes.[1-3]


Journal of Micro-nanolithography Mems and Moems | 2013

Extreme ultraviolet lithography resist-based aberration metrology

Germain Fenger; Lei Sun; Sudharshanan Raghunathan; Obert Wood; Bruce W. Smith

Abstract. Extreme ultraviolet lithography (EUVL) at 13.5 nm is currently the most promising technology for advanced integrated circuit manufacturing nodes. Since the wavelength for EUVL is an order of magnitude smaller than current optical lithography systems (193 nm), aberration tolerances on lens manufacturing must be tightened to avoid image distortion and contrast loss as they scale with wavelength. Therefore, understanding the aberrations of an EUVL system both in idle and production conditions is paramount. This study aims to assess a photoresist-based aberration metrology technique for capturing pupil information of EUVL systems that can be implemented during full system use. Several datasets have been collected on a full-field EUVL system. Various one-dimensional and two-dimensional binary structures were imaged and used for pupil wave front extraction in conjunction with computational modeling and simulations. Results show a successful extraction of a stable aberration signature over several measurements, showing the method to be sensitive to subnanometer levels of intentional aberration change through lens manipulation.


Proceedings of SPIE | 2011

EUV masks under exposure: practical considerations

Emily Gallagher; Gregory McIntyre; Tom Wallow; Sudharshanan Raghunathan; Obert Wood; Louis Kindt; John Whang; Monica Barrett

This paper focuses on the practical side of EUV mask metrology and use. Mask metrics such as film thickness, material properties, feature profile, critical feature size, line edge/width roughness (LER/LWR) and defect levels are measured and monitored on the mask. Any variability in mask properties will be transferred to wafer print results. EUV masks have no pellicle and will be cleaned between exposures to extend use. This additional processing creates new opportunity for modifications to the mask after qualification. This paper quantifies mask variability and the induced change to printed wafer critical dimension (CD). The results are compared to the 56nm wafer pitch targets for CD and LER. This EUV-specific effort is required to determine how close EUV masks are to meeting manufacturing requirements and whether there are areas of development that require additional focus from the industry.


Proceedings of SPIE | 2013

Mask 3D effects and compensation for high NA EUV lithography

Sudharshanan Raghunathan; Greg McIntyre; Germain L. Fenger; Obert Wood

Mask shadow compensation for EUV lithography has typically been performed using simple rule-based schemes during optical proximity correction (OPC). However, as feature sizes decrease, the required corrections get more complex as they become dependent on both feature size and type. Thus, OPC models that account for these 3D mask effects are becoming essential. These models become even more important for higher numerical aperture EUV systems due to larger angles of incidence on the mask and tighter process budgets for CD and overlay. This paper will focus on estimating these 3D mask effects and evaluate the extendibility of current available OPC models for some specific higher numerical aperture EUV systems. It is concluded that the current available 3D mask models are capturing the primary effects and it is believed that with further refinement they are likely extendable to meet the needs of future high-NA tools. Additionally, a combination of thinner mask absorber, tighter scanner focus control and/or larger optical magnification will likely be required to print sub-30nm pitch structures with higher numerical aperture EUV systems.


Journal of Micro-nanolithography Mems and Moems | 2015

Line edge roughness frequency analysis during pattern transfer in semiconductor fabrication

Lei Sun; Wenhui Wang; Genevieve Beique; Min Gyu Sung; Obert Wood; Ryoung-Han Kim

Abstract. Line edge roughness (LER) and line width roughness (LWR) are analyzed based on the frequency domain 3σ LER characterization methodology during pattern transfer in a self-aligned double patterning (SADP) process. The power spectrum of the LER/LWR is divided into three regions: low frequency, middle frequency, and high frequency regions. Three standard deviation numbers are used to characterize the LER/LWR in the three frequency regions. Pattern wiggling is also detected quantitatively during LER/LWR transfer in the SADP process.


Proceedings of SPIE | 2014

Driving the industry towards a consensus on high numerical aperture (high-NA) extreme ultraviolet (EUV)

Patrick Kearney; Obert Wood; Eric Hendrickx; Greg McIntyre; Soichi Inoue; Frank Goodwin; Stefan Wurm; Jan van Schoot; Winfried Kaiser

High numerical aperture (high-NA) extreme ultraviolet (EUV) is one option to enable a higher resolution than EUV can achieve with single patterning. An industry effort to achieve consensus on the key parameters of high-NA EUV is described. At high-NA, three-dimensional (3D) mask effects cause a loss of contrast in the image that is recovered by increasing the scanner de-magnification. This leads to a tradeoff between wafer field and mask size that has considerable impact on mask cost and scanner cost of ownership.

Collaboration


Dive into the Obert Wood's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Sudharshanan Raghunathan

State University of New York System

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Markus P. Benk

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Bruce W. Smith

Rochester Institute of Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge