Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Gregory Denbeaux is active.

Publication


Featured researches published by Gregory Denbeaux.


Proceedings of SPIE | 2015

Studying secondary electron behavior in EUV resists using experimentation and modeling

Amrit Narasimhan; Steven Grzeskowiak; Bharath Srivats; Henry Herbol; Liam Wisehart; Chris Kelly; William Earley; Leonidas E. Ocola; Mark Neisser; Gregory Denbeaux; Robert L. Brainard

EUV photons expose photoresists by complex interactions starting with photoionization that create primary electrons (~80 eV), followed by ionization steps that create secondary electrons (10-60 eV). Ultimately, these lower energy electrons interact with specific molecules in the resist that cause the chemical reactions which are responsible for changes in solubility. The mechanisms by which these electrons interact with resist components are key to optimizing the performance of EUV resists. An electron exposure chamber was built to probe the behavior of electrons within photoresists. Upon exposure and development of a photoresist to an electron gun, ellipsometry was used to identify the dependence of electron penetration depth and number of reactions on dose and energy. Additionally, our group has updated a robust software that uses first-principles based Monte Carlo model called “LESiS”, to track secondary electron production, penetration depth, and reaction mechanisms within materials-defined environments. LESiS was used to model the thickness loss experiments to validate its performance with respect to simulated electron penetration depths to inform future modeling work.


Journal of Micro-nanolithography Mems and Moems | 2015

Studying thickness loss in extreme ultraviolet resists due to electron beam exposure using experiment and modeling

Amrit Narasimhan; Steven Grzeskowiak; Bharath Srivats; Henry Herbol; Liam Wisehart; Jonathon Schad; Chris Kelly; William Earley; Leonidas E. Ocola; Mark Neisser; Gregory Denbeaux; Robert L. Brainard

Abstract. Extreme ultraviolet (EUV) photons expose photoresists by complex interactions starting with photoionization that create primary electrons (∼80  eV), followed by ionization steps that create secondary electrons (10 to 60 eV). Ultimately, these lower energy electrons interact with specific molecules in the resist that cause the chemical reactions which are responsible for changes in solubility. The mechanisms by which these electrons interact with resist components are key to optimizing the performance of EUV resists. A resist exposure chamber was built to probe the behavior of electrons within photoresists. Resists were exposed under electron beam and then developed; ellipsometry was used to identify the dependence of electron penetration depth and number of reactions on dose and energy. Additionally, our group has updated a robust software that uses a first principles-based Monte Carlo model called low-energy electron scattering in solids (LESiS) to track secondary electron production, penetration depth, and reaction mechanisms within materials-defined environments. LESiS was used to model the thickness loss experiments to validate its performance with respect to simulated electron penetration depths to inform future modeling work.


Proceedings of SPIE | 2014

Resist outgassing contamination on EUV multilayer mirror analogues

Diego Alvarado; Yudhishthir Kandel; Jaewoong Sohn; Tonmoy Chakraborty; Dominic Ashworth; Gregory Denbeaux

EUV lithography is a technology enabling next generation electronic devices, but issues with photoresist sensitivity, resolution and line edge roughness as well as tool downtime and throughput remain. As part of the industrys efforts to address these problems we have worked with resist suppliers to quantify the relative contamination rate of a variety of resists on EUV multilayer mirror analogues following ASML approved protocols. Here we present results of our ongoing program to better understand the effect of process parameters such as dose and resist thickness on the contamination rate of ruthenium coated witness plates, additionally we present results from a study on the effectiveness of hydrogen cleaning.


Journal of Micro-nanolithography Mems and Moems | 2012

Out-of-band radiation mitigation at 10.6 μm by molecular absorbers in laser-produced plasma extreme ultraviolet sources

Chimaobi Mbanaso; Alin Antohe; Horace Bull; Frank Goodwin; A. Hershcovitch; Gregory Denbeaux

Out-of-band radiation in extreme ultraviolet (EUV) exposure tools remains one of the critical issues that must be addressed before the implementation of this lithography technique for high-volume manufacturing. The out-of-band spectrum at the intermediate focus of EUV sources that use a CO2 laser-produced plasma is dominated by scattered radiation from the drive laser, which operates near 10.6-μm wavelength. To reduce the unwanted heating of optical components in the exposure tool caused by the infrared wavelength, a 10.6-μm wavelength-absorbing gas can be used to reduce the number of photons at this wavelength reaching the intermediate focus. Gaseous sulfur hexafluoride (SF6), whose υ3 infrared active mode is vibrationally excited by radiation around 10.6-μm wavelength, can be used to function as a molecular absorber and thus, mitigate part of the infrared radiation. In this work, the optical absorption of gaseous SF6 is experimentally investigated at the CO2 laser wavelengths close to 10.6 μm as well as the EUV wavelength. Various considerations affecting the use of a gas-based spectral filter are also discussed in this paper.


Advances in Patterning Materials and Processes XXXV | 2018

Molecular organometallic resists for EUV (MORE): Reactivity as a function of metal center (Bi, Sb, Te and Sn)

Jacob Sitterly; Michael Murphy; Steven Grzeskowiak; Gregory Denbeaux; Robert L. Brainard

This paper describes the photoreactivity of six organometallic complexes of the type PhnMX2 containing bismuth, antimony and tellurium, where n = 3 for bismuth and antimony and n = 2 for tellurium, and where X = acetate (O2CCH3) or pivalate (O2CC(CH3)3). These compounds were exposed to EUV light to monitor photodecomposition via in situ mass spectral analysis of the primary outgassing products of CO2, benzene and phenol. This paper explores the effect of metal center and carboxylate ligand on the EUV reactivity of these EUV photoresists.


Proceedings of SPIE | 2015

Evaluating Printability of Buried Native EUV Mask Phase Defects through a Modeling and Simulation Approach

Mihir Upadhyaya; Vibhu Jindal; Adarsh Basavalingappa; Henry Herbol; Jenah Harris-Jones; Il-Yong Jang; Kenneth A. Goldberg; Iacopo Mochi; Sajan Marokkey; Wolfgang Demmerle; Thomas V. Pistor; Gregory Denbeaux

The availability of defect-free masks is considered to be a critical issue for enabling extreme ultraviolet lithography (EUVL) as the next generation technology. Since completely defect-free masks will be hard to achieve, it is essential to have a good understanding of the printability of the native EUV mask defects. In this work, we performed a systematic study of native mask defects to understand the defect printability caused by them. The multilayer growth over native substrate mask blank defects was correlated to the multilayer growth over regular-shaped defects having similar profiles in terms of their width and height. To model the multilayer growth over the defects, a novel level-set multilayer growth model was used that took into account the tool deposition conditions of the Veeco Nexus ion beam deposition tool. The same tool was used for performing the actual deposition of the multilayer stack over the characterized native defects, thus ensuring a fair comparison between the actual multilayer growth over native defects, and modeled multilayer growth over regular-shaped defects. Further, the printability of the characterized native defects was studied with the SEMATECH-Berkeley Actinic Inspection Tool (AIT), an EUV mask-imaging microscope at Lawrence Berkeley National Laboratory (LBNL). Printability of the modeled regular-shaped defects, which were propagated up the multilayer stack using level-set growth model was studied using defect printability simulations implementing the waveguide algorithm. Good comparison was observed between AIT and the simulation results, thus demonstrating that multilayer growth over a defect is primarily a function of a defect’s width and height, irrespective of its shape. This would allow us to predict printability of the arbitrarily-shaped native EUV mask defects in a systematic and robust manner.


Journal of Micro-nanolithography Mems and Moems | 2015

Evaluating printability of buried native extreme ultraviolet mask phase defects through a modeling and simulation approach

Mihir Upadhyaya; Vibhu Jindal; Adarsh Basavalingappa; Henry Herbol; Jenah Harris-Jones; Il-Yong Jang; Kenneth A. Goldberg; Iacopo Mochi; Sajan Marokkey; Wolfgang Demmerle; Thomas V. Pistor; Gregory Denbeaux

Abstract. Since completely defect-free masks will be hard to achieve, it is essential to have a good understanding of the printability of the native extreme ultraviolet (EUV) mask defects. In this work, we performed a systematic study of native mask defects to understand the defect printability they cause. The multilayer growth over native substrate mask blank defects was correlated to the multilayer growth over regular-shaped defects having similar profiles in terms of their width and height. To model the multilayer growth over the defects, a multilayer growth model based on a level-set technique was used that took into account the tool deposition conditions of the Veeco Nexus ion beam deposition tool. Further, the printability of the characterized native defects was studied at the SEMATECH-Berkeley Actinic Inspection Tool (AIT), an EUV mask-imaging microscope at Lawrence Berkeley National Laboratory. Printability of the modeled regular-shaped defects, which were propagated up the multilayer stack using level-set growth model, was studied using defect printability simulations implementing the waveguide algorithm. Good comparison was observed between AIT and the simulation results, thus demonstrating that multilayer growth over a defect is primarily a function of a defect’s width and height, irrespective of its shape.


Proceedings of SPIE | 2014

Evaluating vacuum components for particle performance for EUV lithography

Yashdeep Khopkar; Gregory Denbeaux; Vibhu Jindal

Mask blank defectivity remains a challenge in Extreme Ultraviolet (EUV) lithography. One of the mitigation strategies has been to identify the source of particles causing defects in the mask blank deposition tools. Vacuum components like valves, valve seals, stages, filters, etc. could be a possible source of particles in the tools. Therefore, it is necessary to quantify the amount of particles generated by the vacuum components. This feedback to the supplier can be used to help make vacuum components that shed fewer particles. We show results from a valve and nanoparticle particle test system at the College of Nanoscale Science and Engineering (CNSE) in collaboration with SEMATECH. The setup consists of a condensation particle counter (CPC), which can detect particles between 10 nm – 3 um, and a scanning mobility particle sizer (SMPS), which can provide the size distribution of the particles between 10 nm – 280 nm. We show results from testing two different types of 300 mm valves and compare the particle counts per cycle detected by the CPC for both. Moreover, choosing the best operating parameters of the valve can reduce the number of defects generated. We will present the optimized operating parameters. Selection of appropriate valve seal materials for plasma environments can also be crucial for reducing their degradation.


Proceedings of SPIE | 2014

Direct measurement of carbon contamination topography on patterned EUV masks

Yu-Jen Fan; Thomas Murray; Frank Goodwin; Dominic Ashworth; Gregory Denbeaux

In our previous work, various techniques were used to confirm the contamination deposits on the sidewall of extreme ultraviolet (EUV) mask absorbers [1-2]. In order to further understand the effects of contamination topography on mask absorbing features, direct measurements of contaminated features is needed. In this work, we investigated the contamination topography using cross-section transmission electron microscope (TEM) image analysis on four different masks. TEM specimens of contaminated features from silicon and ruthenium capped EUV masks were prepared using a focused ion beam (FIB). We conducted the contamination experiment with three different exposure sources including EUV, out-of-band, and electron induced processes. Thickness measurements from each contamination experiment were provided. Shadowing effect and geometric analysis on the contamination topography is also discussed.


Proceedings of SPIE | 2014

Investigating printability of native defects on EUV mask blanks through simulations and experiments

Mihir Upadhyaya; Vibhu Jindal; Henry Herbol; Il-Yong Jang; Hyuk Joo Kwon; Jenah Harris-Jones; Gregory Denbeaux

Availability of defect-free masks is considered to be a critical issue for enabling extreme ultraviolet lithography (EUVL) as the next generation technology. Since completely defect-free masks will be hard to achieve, it is essential to have a good understanding of the defect printability as well as the fundamental aspects of a defect that result in the defects being printed. In this work, the native mask blank defects were characterized using atomic force microscopy (AFM) and cross-section transmission electron microscopy (TEM), and the defect printability of the characterized native mask defects was evaluated using finite-difference time-domain (FDTD) simulations. The simulation results were compared with the through-focus aerial images obtained at the SEMATECH Actinic Inspection Tool (AIT) at Lawrence Berkeley National Lab (LBNL) for the characterized defects. There was a reasonable agreement between the through-focus FDTD simulation results and the AIT results. To model the Mo/Si multilayer growth over the native defects, which served as the input for the FDTD simulations, a level-set technique was used to predict the evolution of the multilayer disruption over the defect. Unlike other models that assume a constant flux of atoms (of materials to be deposited) coming from a single direction, this model took into account the direction and incident fluxes of the materials to be deposited, as well as the rotation of the mask substrate, to accurately simulate the actual deposition conditions. The modeled multilayer growth was compared with the cross-section TEM images, and a good agreement was observed between them.

Collaboration


Dive into the Gregory Denbeaux's collaboration.

Top Co-Authors

Avatar

Henry Herbol

State University of New York System

View shared research outputs
Top Co-Authors

Avatar

Mihir Upadhyaya

State University of New York System

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Robert L. Brainard

State University of New York System

View shared research outputs
Top Co-Authors

Avatar

Steven Grzeskowiak

State University of New York System

View shared research outputs
Top Co-Authors

Avatar

Adarsh Basavalingappa

State University of New York System

View shared research outputs
Top Co-Authors

Avatar

Amrit Narasimhan

State University of New York System

View shared research outputs
Top Co-Authors

Avatar

Bharath Srivats

State University of New York System

View shared research outputs
Top Co-Authors

Avatar

Chris Kelly

State University of New York System

View shared research outputs
Researchain Logo
Decentralizing Knowledge