Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Vibhu Jindal is active.

Publication


Featured researches published by Vibhu Jindal.


Proceedings of SPIE | 2011

Modeling the EUV multilayer deposition process on EUV blanks

Vibhu Jindal; Patrick Kearney; Jenah Harris-Jones; Alan V. Hayes; Jacques Kools

Extreme ultraviolet lithography (EUVL) is the leading next generation lithography (NGL) technology to succeed optical lithography at the 22 nm node and beyond. EUVL requires a low defect density reflective mask blank, which is considered to be the most critical technology gap for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction of EUV mask blanks is being pursued using the Veeco Nexus deposition tool. Its defect performance is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH has identified better understanding of the physics of the deposition process as one of the keys to improving the defect performance of Nexus tools. SEMATECH is therefore undertaking an effort to model the physics of the tool backed with an experimental program to characterize the process. The goal is to be able to predict defect performance and defect improvement to direct new tool design. In this paper, we present the results of simulating the deposition rate and uniformity of deposited multilayers and growth of the multilayer on a given defect profile.


28th European Mask and Lithography Conference (EMLC 2012) | 2012

EUV mask defects and their removal

Abbas Rastegar; Vibhu Jindal

EUV mask defectivity is one of the challenges of realizing EUV lithography. EUV mask defects are a combination of substrate, multilayer blank, and absorber patterning defects. Each defect on the substrate or blank may be able to print depending on different factors. Therefore, at every stage of EUV mask manufacturing, care must be taken to control defectivity. This paper reviews EUV mask defectivity during manufacturing and use. Principles involved in EUV defect detection and sizing are discussed. With EUV, examining defects in a two dimensional (2D) space where defect detection can be correlated with defect printability predictions is most useful. To determine the critical defect size on a multilayer, existing printability prediction modeling can be used. However to calculate defect size on a substrate, detailed information about the multilayer deposition process is needed. Defects < 2 nm deep with a full width half maximum (FWHM) < 20 nm on the substrate will be completely smoothed by the current multilayer deposition processes in use at SEMATECH. Defects > 2 nm deep with a FWHM < 20 nm after multilayer deposition become wider but their depth remains constant (0.6 nm) regardless of their width on the substrate. Cleaning-induced pits will contribute to both low thermal expansion material (LTEM) and Ru-capped multilayer blank defectivity. Particles added by the cleaning tool and processes are another key contributor to EUV mask, blank and substrate defectivity. Changes in EUV reflectivity due to multiple cleanings are likewise critical. Cleaning chemistries will also etch the absorber lines and antireflecting coatings (ARCs), which in turn will alter the mask critical dimensions (CDs). Finally, cleaning the mask may increase its surface roughness, which may change the line edge roughness (LER).


Proceedings of SPIE | 2013

Inspection and compositional analysis of sub-20 nm EUV mask blank defects by thin film decoration technique

Vibhu Jindal; Arun John; Jenah Harris-Jones; Patrick Kearney; A. Antohe; E. Stinzianni; Frank Goodwin; Takahiro Onoue

EUVL requires a high yield of low-defect density reflective mask blanks, one of the top two critical technology gaps for the commercialization of this technology. One of the major sources of mask blank defects is the top of the substrate due to substrate quality, cleaning residue, and handling- or storage-induced defects. SEMATECH’s current inspection tool, the Lasertec 7360, can detect defects down to 37 nm on quartz substrates in dense scan mode. Defects below 40 nm on these substrate are difficult to detect, which challenges the quantification and characterization, and hence the determination of defect sources. SEMATECH developed a thin film decoration technique to quantify sub-40 nm defects and analyze composition to pinpoint defect sources. The technique involves oblique angle deposition in an ion beam deposition system, which decorates the particle. The decoration of particles is optimized by depositing enough thin film so that defects can be detected by the Lasertec7360 and yet keeping the film thin enough to employ several metrology techniques to efficiently analyze defect composition. The challenges involved with the metrology of such embedded defects and the impact of oblique angle deposition will be discussed. A theoretical model of defect decoration that can successfully simulate the thin film deposition on top of the defects will be provided. The effect of angle, deposition rate, and deposition time to quantify the decoration effect will also be presented.


Proceedings of SPIE | 2009

Assumptions and trade-offs of extreme ultraviolet optics contamination modeling

Vibhu Jindal; Rashi Garg; Greg Denbeaux; Andrea Wüest

Extreme ultraviolet (EUV) lithography is one of the most promising candidates for device patterning at the 22 nm halfpitch node. The contamination of extreme ultraviolet optics has consistently been listed among the top challenges for the commercialization of EUV lithography. In a lithography exposure tool under radiation exposure, the two main mechanisms that degrade reflectivity of EUV molybdenum/silicon multilayer optics are carbonization and oxidation. The accumulation of carbon on the mirror surfaces is a consequence of residual hydrocarbons and/or other carbon containing molecules, while oxidation is likely due to water vapor. Theoretical and numerical modeling of EUV optics and mask contamination kinetics can provide valuable insight into reaction mechanisms and help identify favorable conditions that suppress contamination accumulation. However, the complexity of the underlying surface chemistry currently renders obtaining predictive quality challenging. We investigate the validity of different model assumptions and present preliminary numerical results on the dependence of contamination rates on key parameters including the effect of out-of-band radiation.


Proceedings of SPIE | 2013

Challenges in EUV mask blank deposition for high volume manufacturing

Vibhu Jindal; Patrick Kearney; A. Antohe; Milton Godwin; Arun John; Ranganath Teki; Jenah Harris-Jones; E. Stinzianni; Frank Goodwin

EUVL requires high-yield, low defect density reflective mask blanks, a requirement which is considered one of the top two critical technology gaps for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction and yield improvement for EUV mask blanks is being pursued using the Veeco Nexus deposition tool. The defect performance of this tool is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH identified the key components in the ion beam deposition system that are currently impeding the reduction of defect density and the yield of EUV mask blanks. SEMATECH improved the defect performance of the champion blank with 12 defects above 45 nm which is a 36% improvement from the data reported last year for the champion blank (19 defects above 45 nm). The yield analysis on high quality mask blanks from ion beam deposition system is also presented. Substrate quality is currently the biggest source of mask blank defects, while high yield also requires complete elimination of large size defects from deposition. A roadmap to meet the required defectivity specification for EUV mask blanks is presented.


Spie Newsroom | 2013

Inspecting mask defects with through-focus scanning optical microscopy

Ravikiran Attota; Vibhu Jindal

An advanced technology that uses 13.5nm light, extreme UV (EUV) lithography uses mirrors and masks to pattern features 32nm wide or even smaller onto microchips, allowing the creation of denser and thus faster, more powerful computers. Before this technology can be widely applied, however, the industry must find a way to reduce the defect density of the masks.1 The sources of defects must be mitigated or eliminated at each step of the mask manufacturing process, which requires methods of identifying defects. Our research, with experimental results at visible wavelengths and simulations in the deep UV, suggests that 3D data sets from through-focus scanning optical microscopy (TSOM) could inexpensively provide information about buried defects that are either difficult or impossible to detect using current methods. Mask defects can be categorized by whether they change the phase or amplitude of reflected EUV light. Amplitude defects are located on top or near the top of the multilayer mask structure. Phase defects, however, are generally formed either by the deposition of multiple layers over substrate defects (particles or pits) or by particles added during the multilayer deposition. Buried defects are harder to detect than surface defects. Repair and mitigation techniques differ by defect type. Most commercial inspection tools, however, provide no information about amplitude or phase change. Characterization techniques such as electron microscopy, ion microscopy, or atomic force microscopy can provide information on surface topography but are unable to report the size and depth location of a defect underneath multiple layers. Also, electron and ion microscopes provide limited or no information about the core of the buried defects. Methods with unique capabilities, such as actinic Figure 1. Construction of a through-focus scanning optical microscopy (TSOM) image using a conventional microscope. (a) Optical images are acquired at multiple heights, above and below the best focus position. (b) Images are stacked at their respective heights to form the 3D optical data set. (c) Optical intensity data extracted along a vertical cross section passing through the center of the target (a nanoparticle) is plotted as a 2D TSOM image.


Proceedings of SPIE | 2012

Modeling the ion beam target interaction to reduce defects generated by ion beam deposition

Thomas Cardinal; Daniel Andruczyk; He Yu; Vibhu Jindal; Patrick Kearney; David N. Ruzic

The defectivity of extreme ultraviolet (EUV) mask blanks is a critical issue in EUV lithography. It has been observed that target surfaces can develop many formations that generate defects during ion beam sputtering. Two simulation models were developed to study the surface morphology and evolution of a target surface under different ion beam conditions. Extensive simulations were performed to understand the interaction of the ion beam with the target surface. The modeling was able to mimic the growth and the elimination of these formations through normal incidence bombardment as verified by ion beam sputtering experiments.


Proceedings of SPIE | 2012

Smoothing of substrate pits using ion beam deposition for EUV lithography

Jenah Harris-Jones; Vibhu Jindal; Patrick Kearney; Ranganath Teki; Arun John; Hyuk Joo Kwon

Mitigation of pit-type defects proves to be a major hurdle facing the production of a defect-free mask blank for EUV lithography. Recent efforts have been directed toward substrate smoothing methods during deposition. The angle of incidence of the substrate is known to have a significant effect on the growth of defects during deposition. It has been shown that shadowing effects for bump-type defects are reduced when depositing Mo/Si films at near-normal incidence, resulting in a Gaussian growth profile in which the height and volume of the defect are minimized. Conversely, operating at off-normal incidence reduces shadowing of pit-type defects. When altering the angle of incidence of the substrate, the target angle must be changed to maintain uniformity. The resulting mask blank must also meet surface roughness specifications post-deposition while maintaining a low defect density. In this study, various substrate angle and target angle combinations were investigated within the Veeco Nexus Low Defect Density tool at SEMATECH to find optimum in situ pit smoothing conditions using ion beam deposition on both quartz and low thermal expansion material (LTEM) substrates. The possible substrate-target angle combinations are limited by the design of the current deposition tool; therefore, a phase space has been mapped out to determine uniform and non-uniform regions. Other deposition parameters including operating pressure and working gas composition were also explored. After deposition, EUV reflectrometry measurements were taken to evaluate uniformity in the wavelength; surface roughness, change in pit depth, change in full width at half maximum, and pit smoothing power were determined using atomic force microscopy (AFM); transmission electron microscopy (TEM) was used to study the effect of film disruption through the multilayer; and the printability of smoothed pits will be measure actinically using SEMATECHs AIT tool. Preliminary results show that positive values for substrate angles in the uniform region tend to give a high surface roughness after multilayer deposition; however, the combinations with negative substrate angles show promising results. Substrate angles with lower values resulted in better smoothing than the higher substrate angles. AFM results confirmed that pit smoothing power at lower substrate angles is greater than under the standard deposition conditions employed by the tool. Lower chamber pressure was proven to increase the smoothing power of pit-type defects during deposition. Preliminary TEM cross-section data confirmed the smoothing results obtained by AFM analysis. The use of Ne and Xe as working gases is also under review. Extensive AFM analysis, TEM cross-sections, and printability data will be presented.


Proceedings of SPIE | 2012

Ion beam deposition system for depositing low defect density extreme ultraviolet mask blanks

Vibhu Jindal; Patrick Kearney; Jaewoong Sohn; Jenah Harris-Jones; Arun John; Milton Godwin; A. Antohe; Ranganath Teki; Andy Ma; Frank Goodwin; Al Weaver; P. Teora

Extreme ultraviolet lithography (EUVL) is the leading next-generation lithography (NGL) technology to succeed optical lithography at the 22 nm node and beyond. EUVL requires a low defect density reflective mask blank, which is considered to be one of the top two critical technology gaps for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction in EUV mask blanks is being pursued using the Veeco Nexus deposition tool. The defect performance of this tool is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH identified the key components in the ion beam deposition system that is currently impeding the reduction of defect density and the yield of EUV mask blanks. SEMATECHs current research is focused on in-house tool components to reduce their contributions to mask blank defects. SEMATECH is also working closely with the supplier to incorporate this learning into a next-generation deposition tool. This paper will describe requirements for the next-generation tool that are essential to realize low defect density EUV mask blanks. The goal of our work is to enable model-based predictions of defect performance and defect improvement for targeted process improvement and component learning to feed into the new deposition tool design. This paper will also highlight the defect reduction resulting from process improvements and the restrictions inherent in the current tool geometry and components that are an impediment to meeting HVM quality EUV mask blanks will be outlined.


Proceedings of SPIE | 2011

SEMATECH's infrastructure for defect metrology and failure analysis to support its EUV mask defect reduction program

Vibhu Jindal; C. C. Lin; Jenah Harris-Jones; J. Kageyama

Mask blank defects have been one of the top challenges in the commercialization of extreme ultraviolet (EUV) lithography. To determine defect sources and devise mitigation solutions, detailed characterization of defects is critical. However, small defects pose challenges in metrology scale-up. SEMATECH has a comprehensive metrology strategy to address any defect larger than a 20 nm core size to obtain solutions for defect-free EUV mask blanks. This paper will outline challenges in the metrology of current defects on EUV mask blanks and metrology issues that arise with increasingly smaller defects. Further, we will illustrate SEMATECHs approach and existing capabilities, including a state-of-the-art metrology toolset to analyze EUV mask blank defects. These capabilities include, but are not limited to, Auger spectroscopy and high resolution transmission electron microscopy (TEM) analysis, which enables SEMATECH to study nanoscale defects. The newly established Auger tool at SEMATECH can run a standard 6-inch mask blank and is already providing important information on sub-100 nm defects on EUV blanks. Complementary to Auger analysis, TEM provides ultimate resolution in the defect imaging of sub-nanometer structures. Crystalline and phase information generated by this metrology technique also indicates the sources of defects. SEMATECHs TEM capability is further equipped with energy dispersive X-ray spectroscopy (EDS) and electron energy loss spectroscopy (EELS), which provide higher analytical power than similar techniques in traditional secondary electron microscopy (SEM).

Collaboration


Dive into the Vibhu Jindal's collaboration.

Top Co-Authors

Avatar

Iacopo Mochi

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge