Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Guk-Jin Kim is active.

Publication


Featured researches published by Guk-Jin Kim.


Journal of Micro-nanolithography Mems and Moems | 2016

Anisotropic shadow effects with various pattern directions in an anamorphic high numerical aperture system

In-Seon Kim; Guk-Jin Kim; Eytan Barouch; Seong-Wook Kim; Hye-Keun Oh

Abstract. A high numerical aperture (NA) system with an NA larger than 0.5 is required to make patterns of 1X nm and below, even though extreme ultraviolet lithography uses a 13.5-nm wavelength source. To avoid the reflective efficiency loss and to avoid an increase in the chief ray angle of incident light, use of an anamorphic high-NA system is suggested. The suggested anamorphic NA system has nonisotropic magnification, x-magnification of 4× and y-magnification of 8×, and the mask NA shape is an ellipse due to the nonisotropic magnification distribution. Anamorphic NA systems have a nonconventional shadow effect due to nonisotropic incident angle distribution and magnification. These nonisotropic characteristics lead to the reduction of asymmetric shadow distribution and a reduction of horizontal–vertical bias. As a result, anamorphic NA systems can achieve balanced patterning results regardless of pattern direction and incident direction.


Photomask and Next-Generation Lithography Mask Technology XXI | 2014

Etched multilayer mask is better than conventional absorber mask

Guk-Jin Kim; Hye-Keun Oh; In-Seon Kim; Eytan Barouch

The main problem in extreme ultra-violet (EUV) lithography for mass production is low source power. In order to overcome this problem, we suggest to use an etched multilayer mask introduced several years ago. The etched multilayer mask structure does not need an absorber stack and it was found that we could get higher aerial image slope and peak intensity than those of the conventional absorber mask structure. Also, the etched multilayer mask can reduce the pattern shift and horizontal-vertical (H-V) bias.


Photomask and Next-Generation Lithography Mask Technology XXI | 2014

Etched multilayer mask in EUV lithography for 16 nm node and below

Guk-Jin Kim; Eytan Barouch; Hye-Keun Oh

Etched multilayer masks in EUV lithography for 16 nm node and below are better than conventional binary masks due to their higher image intensities and image slopes. However, aerial-image simulation of etched multilayer masks requires special care in order to obtain accurate results. In this paper, we first show that the usual Hopkins method for partial coherence simulation gives very inaccurate results when off-axis illumination is used. We then discuss an enhanced Hopkins method which provides far greater accuracy. Simulation results are presented to demonstrate the importance of using the enhanced Hopkins method for EUV lithography simulation.


Proceedings of SPIE | 2017

Impact of non-uniform wrinkles for a multi-stack pellicle in EUV lithography

Guk-Jin Kim; In-Seon Kim; Min-Su Kim; Jin-Goo Park; Hye-Keun Oh

Extreme ultraviolet (EUV) pellicle is required for protecting the EUV mask from defects, contaminations, and particles during exposure process. EUV pellicle should be very thin for high transmission of EUV wavelength. Therefore, EUV pellicle can be easily deformed during the exposure process, and the multi-stack pellicle is suggested to minimize this deformation of EUV pellicle. The EUV multi-stack pellicle is made of polysilicon-based core layer and capping layers for the durability during the exposure process. Nevertheless, there remains other manufacturing, thermal, and mechanical problems. In this study, we investigated the impact of wrinkles of EUV pellicle, which can be formed during pellicle manufacturing or exposure process, in terms of transmission non-uniformity and critical dimension (CD) variation for 5- nm and 3-nm nodes. To fabricate 3-nm node, we need a high numerical aperture (NA) system such as an anamorphic NA system with chief ray angle of 6-degree. The wrinkle can be uniform in height and period, but we assumed a realistic non-uniform wrinkle. This non-uniform wrinkle of multi-stack pellicle may cause different image distortion for 5-nm and 3-nm nodes with the isomorphic and anamorphic NA systems. The transmission non-uniformity is calculated with various heights and periods of non-uniform wrinkles of the pellicle. It is found that the transmission non-uniformity for wrinkled pellicle for the anamorphic NA system can be larger than that for the isomorphic NA system to obtain CD uniformity below 0.2 nm.


Proceedings of SPIE | 2017

Arc-shaped slit effect of EUV lithography with anamorphic high-NA system in terms of critical dimension variation

In-Seon Kim; Guk-Jin Kim; Eytan Barouch; Hye-Keun Oh

EUV lithography is one of the promising technologies for 1X nm patterning. EUV lithography has high resolution capability because of short wavelength of source but it has some particular patterning problems which are not appeared a t optical lithography. Owing to reflective optics, EUV light incidents obliquely in mask and oblique incidence of EUV lithography leads shadow effect and arc-shaped exposure slit. The study of these particular optical problems are required for optical proximity correction (OPC). Arc-shaped exposure slit leads azimuthal angle variation, incident angle variation , and variation of shadow width. With these variations along exposure slit, patterning result is varied along the exposure slit. With understanding of these particular optical problems, lots of EUV OPC studies have been presented with 0.33 conventional NA system. However, suggested anamorphic high NA system has not only elliptical shaped mask NA and also different angle distribution. The incident angle variation as a function of azimuthal angle is different between isomorphic and anamorphic NA systems. In case of anamorphic NA system, incident angle distribution is decreased on horizontal direction but it is larger on vertical direction compared with case of isomorphic NA system. These differences make different arc-shaped slit effect. CD variation as a function of azimuthal angle is different between isomorphic and a namorphic NA systems. The study of CD variation along the exposure slit is very helpful for OPC in EUV lithography.


Journal of Micro-nanolithography Mems and Moems | 2017

Influence of a wrinkle in terms of critical dimension variation caused by transmission nonuniformity and a particle defect on extreme ultraviolet pellicle

Guk-Jin Kim; In-Seon Kim; Sunggyu Lee; Min-Su Kim; Jin-Goo Park; Hye-Keun Oh

Abstract. An extreme ultraviolet (EUV) pellicle is needed for the protection of EUV masks from defects, contaminants, and particles during the exposure process. However, the EUV pellicle can be easily deformed during the exposure process because it has an extremely thin thickness for high transmission of EUV lights. Due to the very thin thickness and the weak structure of the pellicle, a pellicle is easily deformed; a wrinkled pellicle causes an image distortion, which leads to critical dimension (CD) variation. In addition, a particle defect on an EUV pellicle can result from scanner building materials. Added materials of the particle defect on an EUV pellicle can also cause image distortion and CD variation. We investigated the impact of wrinkles and particle defects on the transmission and CD variation for the 5- and 3-nm nodes of isomorphic and anamorphic numerical aperture (NA) systems. The variation in transmission and the critical size of the particle defect with a wrinkled EUV pellicle were calculated to obtain the requirement of a CD variation of 0.2 nm for a EUV pellicle. As a result, a change in transmission of 1.9% (after two pass) resulted in a 0.2-nm variation in the CD for the anamorphic NA system (3-nm node), whereas a transmission variation of 1.3% (after two pass) caused a 0.2-nm CD variation in the isomorphic NA system (5-nm node). From these results, an allowable local tilt angle can be calculated; the allowable local tilt angle of an isomorphic NA system is 0.31 rad and that of an anamorphic NA system is 0.41 rad. When the particle defect is added on a wrinkled EUV pellicle, the critical size of the particle defect is 1.2  μm for the 5-nm node and 2.2  μm for the 3-nm node.


Journal of Micro-nanolithography Mems and Moems | 2016

Mask three-dimensional effects of etched multilayer mask for 16-nm half-pitch in extreme ultraviolet lithography

Guk-Jin Kim; In-Seon Kim; Hye-Keun Oh

Abstract. The absorber stack on the conventional mask in extreme ultraviolet (EUV) lithography technology leads to mask three-dimensional (3-D) effects including horizontal–vertical (H–V) bias and position shifts through focus. To overcome these problems, we revisit the etched multilayer mask structure. We focus on the etched multilayer mask structure process down to a 16-nm half-pitch at a 0.33 numerical aperture, and we compare the results from this mask to those obtained with a conventional mask. Removing the absorber stack makes the H–V bias of an etched multilayer mask smaller than that of a conventional absorber mask for a 16-nm half-pitch. Thus, the etched multilayer mask can be used to reduce the mask 3-D effects.


Journal of Micro-nanolithography Mems and Moems | 2015

Multistack structure for an extreme-ultraviolet pellicle with out-of-band radiation reduction

Sunggyu Lee; Guk-Jin Kim; In-Seon Kim; Jinho Ahn; Jin-Goo Park; Hye-Keun Oh

Abstract. We report on out-of-band (OoB) radiation that can cause degradation to the image quality in extreme-ultraviolet (EUV) lithography systems. We investigated the effect of OoB radiation with an EUV pellicle and found the maximum allowable reflectivity of OoB radiation from the EUV pellicle that can satisfy certain criteria (i.e., the image critical dimension error, contrast, and normalized image log slope). We suggested a multistack EUV pellicle that can obtain a high EUV transmission, minimal reflectivity of OoB radiation, and sufficient deep ultraviolet transmission for defect inspection and alignment without removing the EUV pellicle in an EUV lithography system.


Japanese Journal of Applied Physics | 2014

Imaging performance of mesh supported pellicle for extreme ultraviolet lithography

Ki-Ho Ko; Guk-Jin Kim; Eytan Barouch; Hye-Keun Oh

Extreme ultraviolet (EUV) lithography is the first candidate for 16 nm half pitch devices and EUV pellicle is needed for mask defect control. In order to check the effect of the pellicle on the EUV patterning, aerial image simulation including the meshed pellicle is performed. We found that the overall transmission drop caused by the pellicle structure might change the line width even though the contrast of the aerial image remained almost the same. The aerial images of 16 nm line and space pattern with various pellicle structures are studied to see the effect of the meshed pellicle variables. Smaller mesh height and width, and larger mesh pitch of the pellicle support are preferred since transmission is better.


Proceedings of SPIE | 2017

CD error caused by aberration and its possible compensation by optical proximity correction in extreme-ultraviolet lithography

Jeong-gu Hwang; In-Seon Kim; Guk-Jin Kim; Hee-Ra No; Byung-Hun Kim; Hye-Keun Oh

There has been reports of EUV scanner aberration effects to the patterns down to 18 nm half-pitch (hp). Maximum aberration of the latest EUV scanner is reported as 25 mλ. We believe that the first EUV mass production will be applied to the devices of 16 nm hp, so that we checked the aberration effects on 16 nm periodic line and space patterns and nonperiodic double and five-bar patterns. Coma aberrations of Z7, Z8, Z14 and Z15 Zernike polynomials (ZP) seems to be the dominant ones that make pattern distortion. Non-negligible critical dimension (CD) variation and position shift are obtained with the reported maximum 25 mλ of coma aberration. Optical proximity correction (OPC) is tried to see if this aberration effects can be minimized, so that we can make the desired patterns even though there is a non-correctable scanner aberration.

Collaboration


Dive into the Guk-Jin Kim's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge