Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hanif Fatemi is active.

Publication


Featured researches published by Hanif Fatemi.


design, automation, and test in europe | 2005

HEBS: Histogram Equalization for Backlight Scaling

Ali Iranli; Hanif Fatemi; Massoud Pedram

In this paper, a method is proposed for finding a pixel transformation function that maximizes backlight dimming while maintaining a pre-specified image distortion level for a liquid crystal display. This is achieved by finding a pixel transformation function, which maps the original image histogram to a new histogram with lower dynamic range. Next the contrast of the transformed image is enhanced so as to compensate for brightness loss that would arise from backlight dimming. The proposed approach relies on an accurate definition of the image distortion which takes into account both the pixel value differences and a model of the human visual system and is amenable to highly efficient hardware realization. Experimental results show that the histogram equalization for backlight scaling method results in about 45% power saving with an effective distortion rate of 5% and 65% power saving for a 20% distortion rate. This is significantly higher power savings compared to previously reported backlight dimming approaches.


design automation conference | 2006

Statistical logic cell delay analysis using a current-based model

Hanif Fatemi; Shahin Nazarian; Massoud Pedram

A statistical model for the purpose of logic cell timing analysis in the presence of process variations is presented. A new current-based cell delay model is utilized, which can accurately compute the output waveform for input waveforms of arbitrary shapes subjected to noise. The cell parasitic capacitances are pre-characterized by lookup tables to improve the accuracy. To capture the effect of process parameter variations on the cell behavior, the output voltage waveform of logic cells is modeled by a stochastic Markovian process in which the voltage value probability distribution at each time instance is computed from that of the previous time instance. Next the probability distribution of a % Vdd crossing time, i.e., the hitting time of the output voltage stochastic process is computed. Experimental results demonstrate the high accuracy of our cell delay model compared to Monte-Carlo-based SPICE simulations


design, automation, and test in europe | 2008

A current source model for CMOS logic cells considering multiple input switching and stack effect

Behnam Amelifard; Safar Hatami; Hanif Fatemi; Massoud Pedram

This paper presents a current source model (CSM) of a CMOS logic cell, which captures simultaneous switching of multiple inputs while accounting for the effect of internal node voltages of the logic cell. Characterization procedures for various components of the proposed CSM are described and application of the model to output waveform computation is discussed. Experimental results to assess the accuracy and efficiency of the proposed multiple input switching CSM in the context of noise and timing analyses in VLSI circuits are reported.


asia and south pacific design automation conference | 2007

A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms

Hanif Fatemi; Shahin Nazarian; Massoud Pedram

An accurate model is presented to calculate the short circuit energy dissipation of logic cells. The short circuit current is highly dependent on the input and output voltage values. Therefore the actual shape of the voltage signal waveforms at the input and output of the cell should be considered in order to precisely calculate the short circuit energy dissipation. Previous approaches such as the approximation of the crosstalk induced noisy waveforms with saturated ramps can lead to short circuit energy estimation errors as high as an order of magnitude for a minimum sized inverter. To resolve this shortcoming, a current-based logic cell model is utilized, which constructs the output voltage waveform for a given noisy input waveform. The input and output voltage waveforms are then used to calculate the short circuit current, and hence, short circuit energy dissipation. A characterization process is executed for each logic cell in the standard cell library to model the relevant electrical parameters e.g., the parasitic capacitances and nonlinear current sources. Additionally, our model is capable of calculating the short circuit energy dissipation caused by glitches in VLSI circuits, which in some cases can be a key contributor to the total circuit energy dissipation. Experimental results show an average error of about 1% and a maximum error of 3% compared to SPICE for different types of logic cells under noisy input waveforms including glitches while the runtime speedup is up to a factor of 16,000.


international conference on computer design | 2005

VGTA: variation-aware gate timing analysis

Soroush Abbaspour; Hanif Fatemi; Massoud Pedram

As technology scales down, timing verification of digital integrated circuits becomes an extremely difficult task due to gate and wire variability. Therefore, statistical timing analysis is inevitable. Most timing tools divide the analysis into two parts: 1) interconnect (wire) timing analysis and 2) gate timing analysis. Variational interconnect delay calculation for block-based /spl sigma/TA has been recently studied. However, variational gate delay calculation has remained unexplored. In this paper, we propose a new framework to handle the variation-aware gate timing analysis in block-based /spl sigma/TA. First, we present an approach to approximate variational RC-/spl pi/ load by using a canonical first-order model. Next, an efficient variation-aware effective capacitance calculation based on statistical input transition, statistical gate timing library, and statistical RC-/spl pi/ load is presented. In this step, we use a single-iteration C/sub eff/ calculation which is efficient and reasonably accurate. Finally we calculate the statistical gate delay and output slew based on the aforementioned model. Experimental results show an average error of 7% for gate delay and output slew with respect to the HSPICE Monte Carlo simulation while the runtime is about 145 times faster.


asia and south pacific design automation conference | 2006

Parameterized block-based non-gaussian statistical gate timing analysis

Soroush Abbaspour; Hanif Fatemi; Massoud Pedram

As technology scales down, timing verification of digital integrated circuits becomes an increasingly challenging task due to the gate and wire variability. Therefore, statistical timing analysis (denoted by sigmaTA) is becoming unavoidable. This paper introduces a new framework for performing statistical gate timing analysis for non-Gaussian sources of variation in block-based sigmaTA. First, an approach is described to approximate a variational RC-pi load by using a canonical first-order model. Next, an accurate variation-aware gate timing analysis based on statistical input transition, statistical gate timing library, and statistical RC-pi load is presented. Finally, to achieve the aforementioned objective, a statistical effective capacitance calculation method is presented. Experimental results show an average error of 6% for gate delay and output transition time with respect to the Monte Carlo simulation with 104 samples while the runtime is nearly two orders of magnitude shorter


design, automation, and test in europe | 2006

Non-Gaussian Statistical Interconnect Timing Analysis

Soroush Abbaspour; Hanif Fatemi; Massoud Pedram

This paper focuses on statistical interconnect timing analysis in a parameterized block-based statistical static timing analysis tool. In particular, a framework for performing timing analysis of RLC networks with step inputs, under both Gaussian and non-Gaussian sources of variation, is presented. In this framework, resistance, inductance, and capacitance of the RLC line are modeled in a canonical first order form and used to produce the corresponding propagation delay and slew (time) in the canonical first-order form. To accomplish this step, mean, variance, and skewness of delay and slew distributions are obtained in an efficient, yet accurate, manner. The proposed framework can be extended to consider higher order terms of the various sources of variation. Experimental results show average errors of less than 2% for the mean, variance and skewness of interconnect delay and slew while achieving orders of magnitude speedup with respect to a Monte Carlo simulation with 104 samples


great lakes symposium on vlsi | 2005

VITA: variation-aware interconnect timing analysis for symmetric and skewed sources of variation considering variational ramp input

Soroush Abbaspour; Hanif Fatemi; Massoud Pedram

As technology scales down, timing verification of digital integrated circuits becomes an extremely difficult task due to statistical variations in the gate and wire delays. Statistical timing analysis techniques are being developed to tackle this important problem. In this paper, we propose a new framework for handling variation-aware interconnect timing analysis in which the sources of variation may have symmetric or skewed distributions. To achieve this goal, we express the resistance and capacitance of a line in canonical first order forms and then use these to compute the circuit moments. The variational moments are subsequently used to compute the interconnect delay and slew at each node of an RC tree. For this step, we combine known closed-form delay metrics such as Elmore and AWE-based algorithms to take advantage of the efficiency of the first category and the accuracy of the second. Experimental results show an average error of 2% for interconnect delay and slew with respect to SPICE-based Monte Carlo simulations.


international conference on computer aided design | 2003

A Game Theoretic Approach to Dynamic Energy Minimization in Wireless Transceivers

Ali Iranli; Hanif Fatemi; Massoud Pedram

Adaptive transceivers can significantly reduce the energyconsumption of a mobile, battery-powered node by capturing real-timechanges in the communication channel. This paper proposes agame-theoretic solution to the optimization of the energy consumptionin wireless transceivers. This is accomplished by dynamicallyadapting the modulation level of the transmitter modulator and theerror correction aptitude of the receiver decoder with respect tochannel conditions subject to specified average bit-error-rate andthroughput constraints. Experimental results demonstrate energysavings of up to 15%.


international symposium on low power electronics and design | 2007

Power optimal MTCMOS repeater insertion for global buses

Hanif Fatemi; Behnam Amelifar; Massoud Pedram

This paper addresses the problem of power-optimal repeater insertion for global buses in the presence of crosstalk noise. MTCMOS technique by inserting high-Vth sleep transistors to reduce the leakage power consumption in the idle mode is used. We simultaneously calculate the repeater sizes, repeater distances, and the size of the sleep transistors to minimize the power dissipation. The effect of crosstalk coupling capacitance on propagation delay and (switching and short circuit) power dissipation is considered. Experimental results show that depending on the activity factor of the circuit, the proposed technique can significantly reduce the power consumption of the global bus interconnects.

Collaboration


Dive into the Hanif Fatemi's collaboration.

Top Co-Authors

Avatar

Massoud Pedram

University of Southern California

View shared research outputs
Top Co-Authors

Avatar

Soroush Abbaspour

University of Southern California

View shared research outputs
Top Co-Authors

Avatar

Ali Iranli

University of Southern California

View shared research outputs
Top Co-Authors

Avatar

Shahin Nazarian

University of Southern California

View shared research outputs
Top Co-Authors

Avatar

Soroush Abbaspour

University of Southern California

View shared research outputs
Top Co-Authors

Avatar

Amir H. Ajami

University of Southern California

View shared research outputs
Top Co-Authors

Avatar

Behnam Amelifar

University of Southern California

View shared research outputs
Top Co-Authors

Avatar

Behnam Amelifard

University of Southern California

View shared research outputs
Top Co-Authors

Avatar

Emre Tuncer

Magma Design Automation

View shared research outputs
Top Co-Authors

Avatar

Safar Hatami

University of Southern California

View shared research outputs
Researchain Logo
Decentralizing Knowledge