Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Harold Robert Zable is active.

Publication


Featured researches published by Harold Robert Zable.


Photomask Japan 2017: XXIV Symposium on Photomask and Next-Generation Lithography Mask Technology | 2017

GPU-accelerated inline linearity correction: pixel-level dose correction (PLDC) for the MBM-1000

Harold Robert Zable; Hironobu Matsumoto; Kenichi Yasui; Ryosuke Ueba; Noriaki Nakayamada; Nagesh Shirali; Yukihiro Masuda; Ryan Pearman; Aki Fujimura

Over the last two decades, eBeam mask writers have added inline correction features. Particularly when minimum feature sizes on mask went below 100nm a decade ago, the need for more precision within a reasonable write time increased the demand for more corrections. Inline correction is better for turnaround time and throughput, but inline correction is computationally limited because it is unacceptable for computation to limit the machine write time. Simultaneously, the same need for linearity correction, printability enhancement, and resilience to manufacturing variation has caused much innovation in offline mask data preparation and mask process correction. Typically, the writer performs inline correction for backscatter, fogging, loading, charging and thermal effects, but leaves <10μm effects to offline correction. With multi-beam writers, the write time is independent of shape count. Any set of input shapes is rasterized to a set of arrays of equal sized pixels that are each independently dosed to write the desired shapes. Multi-beam writers also have a certain minimum write time that is required for writing even a very small number of simple shapes. This gives rise to the possibility of providing linearity correction features, even for the short-range effects as inline correction in the writer. Such inline correction has zero impact on throughput and turnaround time of mask making. This paper introduces the GPU-accelerated inline linearity correction capability of the NuFlare MBM-1000 for the first time.


Photomask Japan 2017: XXIV Symposium on Photomask and Next-Generation Lithography Mask Technology | 2017

Mask CD relationship to temperature at the time backscatter is received

Harold Robert Zable; Tom Kronmiller; Ryan Pearman; Bill Guthrie; Nagesh Shirali; Yukihiro Masuda; Takashi Kamikubo; Noriaki Nakayamada; Aki Fujimura

Mask writers need to be able to write sub-50nm features accurately. Nano-imprint lithography (NIL) masters need to create sub-20nm line and space (L:S) patterns reliably. Increasingly slower resists are deployed, but mask write times need to remain reasonable. The leading edge EBM-9500 offers 1200A/cm2 current density to shoot variable shaped beam (VSB) to write the masks. Last year, thermal effect correction (TEC) was introduced by NuFlare in the EBM-95001. It is a GPU-accelerated inline correction for the effect that the temperature of the resist has on CD. For example, a 100nm CD may print at 102nm where that area was at a comparably high temperature at the time of the shot. Since thermal effect is a temporal effect, the simulated temperature of the surface of the mask is dynamically updated for the effect of each shot in order to accurately predict the cumulative effect that is the temperature at the location of the shot at the time of the shot and therefore its impact on CD. The shot dose is changed to reverse the effects of the temperature change. This paper for the first time reveals an enhancement to this thermal model and a simulator for it. It turns out that the temperature at the time each location receives backscatter from other shots also make a difference to the CD. The effect is secondary, but still measurable for some resists and substrates. Results of a test-chip study will be presented. The computation required for the backscatter effect is substantial. It has been demonstrated that this calculation can be performed fast enough to be inline with the EBM-9500 with a reasonable-sized computing platform. Run-time results and the computing architecture will be presented.


Archive | 2010

Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography

Akira Fujimura; Harold Robert Zable


Archive | 2011

METHOD AND SYSTEM FOR FORMING PATTERNS USING CHARGED PARTICLE BEAM LITHOGRAPHY WITH OVERLAPPING SHOTS

Akira Fujimura; Harold Robert Zable


Archive | 2009

METHOD AND SYSTEM FOR FRACTURING A PATTERN USING CHARGED PARTICLE BEAM LITHOGRAPHY WITH MULTIPLE EXPOSURE PASSES WHICH EXPOSE DIFFERENT SURFACE AREA

Harold Robert Zable; Akira Fujimura


Archive | 2009

Method for Fracturing a Pattern for Writing with a Shaped Charged Particle Beam Writing System Using Dragged Shots

Akira Fujimura; Harold Robert Zable; Michael Tucker


Archive | 2009

METHOD AND SYSTEM FOR FRACTURING A PATTERN USING CHARGED PARTICLE BEAM LITHOGRAPHY WITH MULTIPLE EXPOSURE PASSES HAVING DIFFERENT DOSAGES

Harold Robert Zable; Akira Fujimura


Archive | 2010

METHOD AND SYSTEM FOR MANUFACTURING A SURFACE USING CHARGED PARTICLE BEAM LITHOGRAPHY

Takashi Komagata; Akira Fujimura; Harold Robert Zable; Michael Tucker


Archive | 2015

Method and system for forming patterns using charged particle beam lithography with variable pattern dosage

Akira Fujimura; Harold Robert Zable


Archive | 2011

METHOD FOR DESIGN AND MANUFACTURE OF DIAGONAL PATTERNS WITH VARIABLE SHAPED BEAM LITHOGRAPHY

Akira Fujimura; Lance Glasser; Harold Robert Zable

Collaboration


Dive into the Harold Robert Zable's collaboration.

Researchain Logo
Decentralizing Knowledge