Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Noriaki Nakayamada is active.

Publication


Featured researches published by Noriaki Nakayamada.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Advanced electron-beam writing system EX-11 for next-generation mask fabrication

Toru Tojo; Ryoji Yoshikawa; Yoji Ogawa; Shuichi Tamamushi; Yoshiaki Hattori; Souji Koikari; Hideo Kusakabe; Takayuki Abe; Munehiro Ogasawara; Kiminobu Akeno; Hirohito Anze; Kiyoshi Hattori; Ryoichi Hirano; Shusuke Yoshitake; Tomohiro Iijima; Kenji Ohtoshi; Kazuto Matsuki; Naoharu Shimomura; Noboru Yamada; Hitoshi Higurashi; Noriaki Nakayamada; Yuuji Fukudome; Shigehiro Hara; Eiji Murakami; Takashi Kamikubo; Yasuo Suzuki; Susumu Oogi; Mitsuko Shimizu; Shinsuke Nishimura; Hideyuki Tsurumaki

Toshiba and Toshiba Machine have developed an advanced electron beam writing system EX-11 for next-generation mask fabrication. EX-11 is a 50 kV variable-shaped beam lithography system for manufacturing 4x masks for 0.15 - 0.18 micrometer technology generation. Many breakthroughs were studied and applied to EX-11 to meet future mask-fabrication requirements, such as critical dimension and positioning accuracy. We have verified the accuracy required for 0.15 - 0.18 micrometer generation.


Journal of Vacuum Science & Technology B | 1999

Reduction of long range fogging effect in a high acceleration voltage electron beam mask writing system

Munehiro Ogasawara; Naoharu Shimomura; Jun Takamatsu; Shusuke Yoshitake; Kenji Ooki; Noriaki Nakayamada; Humiyuki Okabe; Toru Tojo; Tadahiro Takigawa

We have developed an antireflecting plate with a novel structure to reduce the long-range fogging effect, which is especially serious in mask writing by a high acceleration voltage electron beam mask writing system. This structure is characterized by an array of holes whose axes converge to the beam irradiation position. These holes can efficiently absorb the electrons scattered at a reticle by avoiding the collision of the electrons with the inner walls. The reflectance of this structure is about 30% of that of the plane structure for 30 keV electrons, whereas that of a parallel hole array structure is about 40%. The fogging effect was evaluated in a high accelerating voltage (50 kV) electron beam mask writing system equipped with this new antireflecting plate, and nm-level global uniformity in pattern size was achieved.


Photomask and Next-Generation Lithography Mask Technology XXI | 2014

EBM-9000: EB mask writer for product mask fabrication of 16nm half-pitch generation and beyond

Hidekazu Takekoshi; Takahito Nakayama; Kenichi Saito; Hiroyoshi Ando; Hideo Inoue; Noriaki Nakayamada; Takashi Kamikubo; Rieko Nishimura; Yoshinori Kojima; Jun Yashima; Akihito Anpo; Seiichi Nakazawa; Tomohiro Iijima; Kenji Ohtoshi; Hirohito Anze; Victor Katsap; Steven D. Golladay; Rodney A. Kendall

EBM-9000 equipped with new features such as new electron optics, high current density (800A/cm2) and high speed deflection control has been developed for the 11nm technology node(tn) (half pitch (hp) 16nm). Also in parallel of aggressive introduction of new technologies, EBM-9000 inherits the 50kV variable shaped electron beam / vector scan architecture, continuous stage motion and VSB-12 data format handling from the preceding EBM series to maintain high reliability accepted by many customers. This paper will report our technical challenges and results obtained through the development.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Modeling of charging effect and its correction by EB mask writer EBM-6000

Noriaki Nakayamada; Seiji Wake; Takashi Kamikubo; Hitoshi Sunaoshi; Shuichi Tamamushi

The impending need of double patterning/double exposure techniques is accelerating the demand for higher pattern placement accuracy to be achieved in the upcoming lithography generations. One of the biggest error sources of pattern placement accuracy on an EB mask writer is the resist charging effect. In this paper, we provide a model to describe the resist charging behavior on a photomask written on our EBM-6000 system. We found this model was very effective in correcting and reducing the beam position error induced by the charging effect.


Photomask and Next-Generation Lithography Mask Technology IX | 2002

Solution for 100 nm: EBM-4000

Yoshiaki Hattori; Kiyoshi Hattori; Kenichi Murooka; Takayuki Abe; Satoshi Yasuda; Taiga Uno; Eiji Murakami; Noriaki Nakayamada; Naoharu Shimomura; Ttsuyoshi Yamashita; Noboru Yamada; Akihiro Sakai; Hirohiko Honda; Toshiaki Shimoyama; Kiyoshi Nakaso; Hideo Inoue; Yoshiaki Onimaru; Keiichi Makiyama; Yoji Ogawa; Tadahiro Takigawa

Optical lithography will be extended down to 65nm to 50 nm. However, a mask with high accurate CD uniformity and resolution enhancement technology (RET) such as optical proximity effect correction (OPC) and phase shifting mask (PSM) are required to achieve resolution by exposure wave length. The mask technology is the key of the optical lithography extension. We developed the electron beam mask writer EBM-3000 for 180-150nm design rule 1), 2), and EBM-3500 for 150-130nm design rule 3), to achieve high accuracy CD uniformity mask and small OPC pattern writing. They were variable shaped electron beam mask writing system with continuous moving stage, at 50kV acceleration voltage, and had the functions of multi-pass field shift writing, real-time proximity effect correction, grid matching correction, and automatic adjustment for election optical column.The LSI road map calls for such small minimum feature size as that so close to optical resolution limitation where increasingly complex optical proximity corrections (OPC) as well as extremely good mask CD uniformity are required. What is making the challenge even more difficult is that writing time is exponentially increasing as the shot number is exploding to primarily cope with the complex and voluminous OPC and extremely good CD uniformity requirements. Thus the newly developed electron beam mask lithography system EBM-4000 is designed to overcome all these difficult problems associated with 100nm as well as 70nm node masks. In order to increase throughput, triangle/rectangle beam optical column, high current density/high resolution lens, and high speed DAC amplifiers have been developed. To achieve accurate CD uniformity, foggy electron correction/loading effect correction functions are developed.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Electron Beam Mask Writer EBM-7000 for Hp 32nm Generation

Takashi Kamikubo; Kenji Ohtoshi; Noriaki Nakayamada; Rieko Nishimura; Hitoshi Sunaoshi; Kiminobu Akeno; Soichiro Mitsui; Yuichi Tachikawa; Hideo Inoue; Susumu Oogi; Hitoshi Higurashi; Akinori Mine; Takiji Ishimura; Seiichi Tsuchiya; Yoshitada Gomi; Hideki Matsui; Shuichi Tamamushi

Optical lithography is facing resolution limit. To overcome this issue, highly complicated patterns with high data volume are being adopted for optical mask fabrications. With this background, new electron beam mask writing system, EBM- 7000 is developed to satisfy requirements of hp 32nm generation. Electron optical system with low aberrations is developed to resolve finer patterns like 30nm L/S. In addition, high current density of 200 A/cm2 is realized to avoid writing time increase. In data path, distributed processing system is newly built to handle large amounts of data efficiently. The data processing speed of 500MB/s, fast enough to process all the necessary data within exposure time in parallel for hp32nm generation, is achieved. And this also makes it possible to handle such large volume dense data as 2G shots/mm2 local pattern density. In this paper, system configuration of EBM-7000 with accuracy data obtained are presented.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Electron-beam mask writer EBM-6000 for 45 nm HP node

Jun Yashima; Kenji Ohtoshi; Noriaki Nakayamada; Hirohito Anze; Takehiko Katsumata; Tomohiro Iijima; Rieko Nishimura; Syuuichiro Fukutome; Nobuo Miyamoto; Seiji Wake; Yusuke Sakai; Shinji Sakamoto; Shigehiro Hara; Hitoshi Higurashi; Kiyoshi Hattori; Kenichi Saito; Rodney A. Kendall; Shuichi Tamamushi

In order to comply with the demanding technology requirements for 45 nm half pitch (HP) node (32 nm technology node), Nuflare Technology Inc. (NFT) has developed Electron-beam mask writing equipment, EBM-6000, with increased current density (70A/cm2), while its other primary features basically remain unchanged, namely 50 kV acceleration voltage, Variable Shaped Beam (VSB)/vector scan, like its predecessors [1-5]. In addition, new functionalities and capabilities such as astigmatism correction in subfield, optimized variable stage speed control, electron gun with multiple cathodes (Turret electron gun), and optimized data handling system have been employed to improve writing accuracy, throughput, and up-time. VSB-12 is the standard input data format for EBM-6000, and as optional features to be selected by users, direct input function for VSB-11 and CREF-flatpoly are offered as well. In this paper, the new features and capabilities of EBM-6000 together with supporting technologies are reported to solidly prove the viability of EBM-6000 for 45 nm HP node.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Reduction of fogging effect caused by scattered electrons in an electron beam system

Naoharu Shimomura; Munehiro Ogasawara; Jun Takamatsu; Shusuke Yoshitake; Kenji Ooki; Noriaki Nakayamada; Fumiyuki Okabe; Toru Tojo

Background exposure of a resist caused by scattered electrons (the fogging effect) degrades critical dimension accuracy when the pattern density changes over the specimen. We measured the fogging effect in an electron beam optical column. In order to reduce the fogging effect, a scattered electron absorber plate having a converging holes structure was attached to the lower surface of the objective lens. When the most severe pattern for the fogging effect was applied, we achieved the size variation caused by the fogging effect less than 8 nm. The converging holes effectively trap the scattered electrons and greatly reduce the fogging effect.


Journal of Micro-nanolithography Mems and Moems | 2016

Correction of resist heating effect on variable shaped beam mask writer

Noriaki Nakayamada; Mizuna Suganuma; Haruyuki Nomura; Yasuo Kato; Takashi Kamikubo; Munehiro Ogasawara; Harold R. Zable; Yukihiro Masuda; Aki Fujimura

Abstract. The specifications for critical dimension (CD) accuracy and line edge roughness are getting tighter to promote every photomask manufacturer to choose electron beam resists of lower sensitivity. When the resist is exposed by too many electrons, it is excessively heated up to have higher sensitivity at a higher temperature, which results in degraded CD uniformity. This effect is called “resist heating effect” and is now the most critical error source in CD control on a variable shaped beam (VSB) mask writer. We have developed an on-tool, real-time correction system for the resist heating effect. The system is composed of correction software based on a simple thermal diffusion model and computational hardware equipped with more than 100 graphical processing unit chips. We have demonstrated that the designed correction accuracy was obtained and the runtime of correction was sufficiently shorter than the writing time. The system is ready to be deployed for our VSB mask writers to retain the writing time as short as possible for lower sensitivity resists by removing the need for increased pass count.


Photomask and Next-Generation Lithography Mask Technology XVIII | 2011

Advancing the charging effect correction with time-dependent discharging model

Noriaki Nakayamada; Takashi Kamikubo; Hirohito Anze; Shuichi Tamamushi

A new method to describe the resist surface charging effect more accurately is proposed. In our previous work, we handled only the static portion of the surface charging and it was applicable only to a limited situation. The scope of this paper is to add a new model to handle the dynamic, discharging behavior on top of the existing static model to make the whole charging model closer to what is really happening on the plate during the exposure. With the new model, the correction accuracy has been improved not only for the equilibrium state but also for the state when the tool is dynamically writing the main pattern. We conclude that our Charging Effect Correction (CEC) was advanced by this new model to become completely production ready.

Collaboration


Dive into the Noriaki Nakayamada's collaboration.

Researchain Logo
Decentralizing Knowledge