Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hideaki Tsubaki is active.

Publication


Featured researches published by Hideaki Tsubaki.


Proceedings of SPIE | 2013

EUV resist materials design for 15nm half pitch and below

Hideaki Tsubaki; Shinji Tarutani; Naoki Inoue; Hiroo Takizawa; Takahiro Goto

Chemically amplified resist materials with a different sensitivity were prepared to investigate impact of sensitivity on resolution at 15 nm half-pitch (hp) using a EUV micro-field exposure tool (MET) at SEMATECH Berkeley. Sensitivity at least slower than 30 mJ/cm2 was required to resolve 15 nm hp patterns using current EUV resists. It is noteworthy that resolution of 15 nm hp was limited by not only pattern collapse but also pinching of patterns. The same tendency is observed in E-beam patterning at 20 nm hp. A strong relationship between pinching and sensitivity in E-beam exposure indicates contribution of photon-shot noise on the pinching. Clear correlation between diffusion length and pinching using the E-beam exposure indicates that acid diffusion is another contributor on the pinching. Bound PAG into polymer and molecular PAG with a big anchor group showed almost same character on pinching. Key conclusion here is even in a molecular PAG, we can control acid diffusion to achieve 15 nm hp resolution capability. Strategy to improve sensitivity is to utilize resist with high deprotection efficiency. Polymer with a low thermal activation energy on deprotection (low Ea polymer) was demonstrated as a key technology to achieve 15 nm hp resolution with a faster sensitivity below 26 mJ/cm2. Special rinse material was effective for reducing LWR by ~ 20%. Sensitivity dependency of outgassing have been systematically discussed at first. A good linear correlation between a cleanable outgassing amount and exposure energy strongly indicates tradeoff relationship between outgassing and sensitivity. Applying a new EUV topcoat to resist demonstrated reduction of outgassing from 7.39 nm to below 0.1 nm with maintaining resolution.


Proceedings of SPIE | 2008

Development of materials and processes for double patterning toward 32-nm node 193-nm immersion lithography process

Shinji Tarutani; Hideaki Tsubaki; Shinichi Kanna

A new negative tone imaging with application of new developer to conventional ArF immersion resist materials is proposed for narrow trench pattern formation, which is effective to the double trench process that is one of the candidates of double patterning process for 32 nm node semiconductor devices. No swelling property was realized in the developing step, in which the dissolution mechanism was discussed. Significantly better LWR and resolution on narrow trench pattern were observed with this negative tone development compared to positive tone development. These results suggest that this negative tone development process is one of the promising candidates for double trench process. Feasibility of double development with negative and positive development process was evaluated as a candidate for pitch frequency doubling process, and quite low k1 number of 0.23 was obtained.


Proceedings of SPIE | 2012

EUV resist materials for 20nm and below half-pitch applications

Hideaki Tsubaki; Shinji Tarutani; Hiroo Takizawa; Takahiro Goto

A series of polymer with different hydrophobicity have been synthesized to investigate effects of contact angle on ultimate resolution. Contact angle of these polymers was widely changed by utilizing polymers having a different chemical structure and protection ratio. It is revealed that resolution of 20 nm half-pitch (hp) was limited by pattern collapse and improved by increasing contact angle of polymer under E-beam exposure. It is noteworthy that resolution of 20 nm hp and below for current EUV resists with exposures on a NXE:3100 and a micro-field exposure tool (MET) at SEMATECH Berkeley was also limited by pattern collapse. Low diffusion character of current EUV resists with controlled PAG design, especially polymer bound PAG (PBP), suppressed degradation of resolution by chemical blur. Combination of high contact angle polymer with low diffusivity PAG showed resolution of 18 nm hp using a MET at SEMATECH Berkeley with a LWR of 2.6 nm, sensitivity of 20 mJ/cm2, and Z-factor of 3.9 × 10-9 mJnm3. Unfortunately density of blob defect for the hydrophobic polymer was above 100 counts/cm2. Hydrophobicity of film surface was found to be a main cause of its poor defectivity. Adding the new EUV additive to the hydrophobic resist reduced density of blob defect from 100 counts/cm2 to below 0.1 counts/cm2.


Proceedings of SPIE | 2009

Resolution and LWR improvements by acid diffusion control in EUV lithography

Hideaki Tsubaki; Tooru Tsuchihashi; Tomotaka Tsuchimura

A series of photoacid generator (PAG) with different anion size have been synthesized in order to investigate effects of diffusion length on exposure latitude (EL), resolution and line-width roughness (LWR) under EB and EUV exposure. Diffusion length measurement of these PAGs using a model bi-layer experiment revealed that acid diffusion length could be significantly reduced from 1.1 x 103 nm to 13.5 nm at PEB of 120 °C for 90 sec by utilizing the PAG having the biggest anchor group into anion. According to the suppression of acid diffusion, EL for both dense line and isolated line were well improved with maintaining high sensitivity under EB exposure. On the other hand, the relation between sensitivity and LWR were not improved at all. The same trend was also observed under EUV exposure. It is noteworthy that resolution was significantly improved by utilizing the PAG that shows the shortest acid diffusion length. As a result, relation between resolution and LWR was improved, and the Z-factor, which represents resist performance quantitatively, were also improved with the range from 9 % to 51 % by utilizing the PAG with shortest diffusion length into various resist formulations.


Proceedings of SPIE | 2014

Novel EUV resist materials design for 14nm half pitch and below

Hideaki Tsubaki; Shinji Tarutani; Toru Fujimori; Hiroo Takizawa; Takahiro Goto

Polymers with a different Tg and activation energy were prepared to clarify influences of acid diffusion on resolution at 15 nm half-pitch (hp) and 14 nm hp using a EUV micro-field exposure tool (MET) at LBNL. Resolution on such a narrow pattern was limited by collapse and pinching. Clear relationship between pinching numbers and polymer Tg indicates that acid diffusion is one of major contributors on the pinching. In addition, polymers with a low thermal activation energy (Ea) on deprotection were effective for reducing pinching. This is probably originated from its high chemically amplification character even in low post-exposure bake (PEB) temperature to obtain both large chemical contrast and short acid diffusion. On the other hand, a good correlation between a cleanable outgassing amount and Ea indicates trade-off relationship between outgassing and resolution. Advantages of n-butyl acetate (nBA) developer have been investigated in viewpoint of dissolution uniformity. Surface roughness of a non-patterned resist film at half-exposed area, which was well correlated with LWR, was measured by AFM as indicator of uniformity in development process. To avoid any differences in resist chemistry other than development process, cross linking negative tone resist was applied for this study. The surface roughness obtained by nBA, which is conventional negative-tone imaging (NTI) developer, was 32 % lower than that obtained by 2.38 % TMAH solution. NTI resist system with a nBA developer and optimized resist reduced LWR from 4.8 nm to 3.0 nm in comparison with conventional positive tone resist with a 2.38 % TMAH developer. In addition, advantage on semi-dense trench patterning was well defined. New EUV sensitizer with 1.15 times higher EUV absorption resulted in 1.15 times higher acid yield by EUV exposure. Lithography performance of the new EUV sensitizer has been investigated by MET at SEMATECH Albany. Sensitivity was indeed improved from 20 mJ/cm2 to 17 mJ/cm2 according to the acid yield increase, but resolution was significantly degraded.


Proceedings of SPIE | 2010

Study on acid diffusion length effect with PAG-blended system and anion-bounded polymer system

Shinji Tarutani; Hideaki Tsubaki; Hidenori Takahashi; Takayuki Itou; Kentaro Matsunaga; Gousuke Shiraishi; Toshiro Itani

Fundamental studies on polymer bounded PAG and polymer - PAG blend type were carried out with the viewpoint of dissolution property, lithographic performance, and blur. These materials were prepared to be able to directly compare and to discuss the difference between blend and bounded PAG, with different PAG loading amount. Dissolution property revealed the clear difference of these materials tendency to the PAG loading amount variation. Lithographic performance difference corresponds to the dissolution property difference, and there found the strategy to improve lithographic performance with polymer bounded PAG type resist. Blur study suggests the advantage in polymer bounded PAG in resolution.


Proceedings of SPIE | 2010

Study on approaches for improvement of EUV-resist sensitivity

Shinji Tarutani; Hideaki Tsubaki; Hidenori Takahashi; Takayuki Itou

Several methods to improve sensitivity of EUV resist, with a couple of key points of acid generation efficiency and deprotection reaction efficiency. Larger loading of PAG to increase the secondary electron absorption possibility, cation unit design to lower the lowest unoccupied molecular orbital of cation, and lowering ionization potential of polymer to enable efficient secondary electron generation, were discussed in the viewpoint of acid generation efficiency. Larger size of anion structure design on PAG was applied to special formulation of small loading of quencher to minimize necessary generated acid concentration to give enough de-protection reaction amount, and to higher PEB temperature resist process to maximize de-protection reaction efficiency.


Proceedings of SPIE | 2013

LWR study on resist formulation parameters

Kyoungyoung Cho; Shinji Tarutani; Naoki Inoue; Hideaki Tsubaki; Mark Neisser

The influence of resist formulation parameters on line width roughness (LWR) and line edge roughness (LER) were studied systematically. Studied parameters were photoacid generator (PAG) loading, blended versus polymer bound PAG type, PEB temperature, molecular weight of polymer, quencher pKb, molecular size, hydrophobicity, and acid amplifier effect. We found an optimum PAG loading point and post-exposure bake (PEB) temperature. Blending and bound-PAG types gave a similar LWR number at the optimum loading, however, bound-PAG needed much larger dose to size. There was an optimum Mw, below which gave worse LWR, and above which required a larger dose to size. , It was difficult to see a difference of LWR for different types of quencher, however, there was a weak trend of better pattern profile with less basic quenchers. The resists that had acid amplifier in them gave a worse pattern profile and LWR number. From these studies, we found that optimization of PAG loading and quencher type are very important, and baking process optimization is also very important to obtain the best LWR number.


Proceedings of SPIE | 2011

Characterizing polymer bound PAG-type EUV resist

Hiroshi Tamaoki; Shinji Tarutani; Hideaki Tsubaki; Toshiya Takahashi; Naoki Inoue; Tooru Tsuchihashi; Hiroo Takizawa; Hidenori Takahashi

Blurs, swelling properties and lithographic performance for polymer bound PAG and polymer PAG blended type resists were studied. A Blur strongly depends on PAG size and the polymer bound PAG type resist reduces the Blur. The Blur for the polymer bound PAG type resist is smaller than that for ZEP (non CAR). That indicates that polymer bound PAG should reduce secondary electron diffusion. The polymer bound PAG type resist acquires very small Blur with higher sensitivity and suppresses swelling very well, therefore polymer bound PAG is one of the promising technologies that improve Resolution, LWR and sensitivity (RLS) property. RLS property on EUV exposure tool is significantly improved by using the polymer bound PAG type resist. Resolution reaches 24nmhp and is limited by pattern collapse and line breaking. Further lithographic experiments on EB exposure tool which has higher NILS than EUV exposure tool were carried out in order to make clear relation between Blur and resolution. The resolution of the polymer bound PAG type resist reaches 17.5nmhp with 35nm thickness and there is possibility that the resolution of an optimized polymer bound PAG type resist reaches under 15nmhp. The resolution of the resist with lower capillary force (C.F.) given and lower swelling and on higher NILS exposure tool strongly depends on the Blur.


Proceedings of SPIE | 2007

A study on the material design for the reduction of LWR

Hideaki Tsubaki; Tsukasa Yamanaka; Fumiyuki Nishiyama; Koji Shitabatake

It is generally believed that the chemically amplified reaction between photo-generated acid catalyst and acid labile group of polymer during post-exposure bake (PEB) process plays a critical role for the reduction of line width roughness (LWR) in ArF lithography. In this work, we revealed experimentally how large the chemically amplified reaction affects LWR, and developed a new resist system with low LWR. Aerial image contrast dependence on LWR revealed that the innate LWR in a conventional ArF photoresist, which is independent of the aerial image contrast, was 5 nm. Surface roughness of a non-patterned resist film at half-exposed area, which was well correlated with LWR, was measured by AFM. The surface roughness increased from 1.7 nm to 10.8 nm during PEB process. The half-exposed area was baked and again dissolved into organic solution, and spin-coated on Si wafer, and then developed with 2.38 % TMAH solution. The recoated half-exposed resist film caused a 60 % reduction on the surface roughness. It revealed that uniform distribution of deblocked polymer was important factor for roughness reduction. HPLC analysis indicated that distribution of acidic group formulation in the polymer was gradually extended with increasing exposure dose. A Resist system that suppresses the chemically amplified reaction successfully reduced LWR from 6.5 nm to 4.8 nm.

Collaboration


Dive into the Hideaki Tsubaki's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Kenji Wada

National Institute for Materials Science

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge