Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Toshiro Itani is active.

Publication


Featured researches published by Toshiro Itani.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

EUV single patterning for logic metal layers: achievement and challenge (Conference Presentation)

Victor M. Blanco Carballo; Stephane Larivière; Rudi De Ruyter; Morin Dehan; G. McIntyre; Ryoung-Han Kim; Werner Gillijns; Ling Ee Tan; Youssef Drissi; Jae Uk Lee; Darko Trivkovic; Paolo A. Gargini; Kurt G. Ronse; Patrick Naulleau; Toshiro Itani

imec’s investigation on EUV single patterning insertion into industry 5nm-relevant logic metal layer is discussed. Achievement and challenge across imaging, OPC, mask data preparation and resulting wafer pattern fidelity are reported with a broad scope. nBest focus shift by mask 3D of isolated feature gets worse by the insertion of SRAF, which puts a negative impact on obtaining large overlap process window across features. imec’s effort across OPC including SMO and mask sizing is discussed with mask rule that affects mask writing. Resist stochastic induced defect is identified as a biggest challenge during the overall optimization, and options to overcome the challenge is investigated. For mask data preparation, dramatic increase in the data volume in EUV mask manufacturing is observed from iArF multiple patterning to EUV single patterning conversion, particularly by the insertion of SRAF. In addition, logic design consideration to make EUV single patterning more affordable compared to alternative patterning option is be discussed.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

High-NA EUV lithography enabling Moore’s law in the next decade

Jan van Schoot; Kars Zeger Troost; Frank Bornebroek; Rob van Ballegoij; Sjoerd Lok; Peter Krabbendam; Judon Stoeldraijer; Erik Roelof Loopstra; Jos Benschop; Jo Finders; Hans Meiling; Eelco van Setten; Bernhard Kneer; Winfried Kaiser; Tilmann Heil; Sascha Migura; Peter Kuerz; Jens Timo Neumann; Paolo A. Gargini; Kurt G. Ronse; Patrick Naulleau; Toshiro Itani

While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore’s law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore’s law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

Evaluating mechanical characteristic of SiNx EUV pellicle membrane (Conference Presentation)

Yong Ju Jang; Jung Hwan Kim; Jin-ho Ahn; Paolo A. Gargini; Kurt G. Ronse; Patrick Naulleau; Toshiro Itani

Various materials and structures have been studied to improve the mechanical and thermal properties of extremely thin membrane of EUV pellicle. We are developing pellicle membranes based on silicon nitride because silicon nitride is known to be stronger than silicon (e.g., Young’s modulus of bulk material: ~300 GPa vs. ~150 GPa). Mechanical strength is required to guarantee the durability under mask stage acceleration and venting/pumping process. However, it is difficult to characterize the mechanical properties of nano-scale membrane such as Young’s modulus, Poisson’s ratio and fracture strength.nIn this paper, mechanical properties of silicon nitride membranes with thickness less than 50nm were characterized by bulge test, tensile test and nano-indentation. Specially-designed ‘push-to-pull device’ was used to obtain stress-strain curve of silicon nitride membrane with 1.54 µm width and 2.45 µm length, and the Young’s modulus of ~93GPa and tensile strength of 3.2GPa were obtained. Bulge-test were performed on silicon nitride membrane with 1 x 1 cm2 size, and the deformation of membrane induced by pressure load was monitored by laser displacement sensor with 0.1 μm resolution. And the data points were fitted to the theoretical equation modified for square membrane and the Young’s modulus of ~ 200 GPa was obtained. This value is higher than the one obtained by tensile test but lower than the bulk value. The detailed explanation of experimental data will be discussed during the presentation.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

EUV exposure tool stability at IMEC (Conference Presentation)

Vinayan Menon; Lieve Van Look; Eric Hendrickx; Andre van Dijk; Tom Lathouwers; Raul Pecharroman-Gallego; Paolo A. Gargini; Kurt G. Ronse; Patrick Naulleau; Toshiro Itani

Source challenges have prolonged EUVL insertion into high volume chip production. Though recent years have seen much faster pace mitigation of key detractors. nnIn meantime ASML’s first production platform NXE3300B continues to positively support imec’s core Advanced Lithography Program and Advanced Patterning Center. nnThis presentation discusses source instability challenges addressed that impacted availability & power under UP2 configuration. Collector degradation caused illumination pupil pixel loss but remained within expected levels. nnLong term exposure tool monitoring data indicates production sustainable capability for EUV dose variability & CDU. Lens aberration, focus & overlay issues unique to EUV addressed will also be discussed.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

Taking a SHARP look at mask 3D effects

Markus P. Benk; Weilun Chao; Ryan Miyakawa; Kenneth A. Goldberg; Patrick P. Naulleau; Paolo A. Gargini; Kurt G. Ronse; Toshiro Itani

Mask 3D effects are an area of active research in EUV mask technology. Mask-side numerical aperture, illumination, feature size and absorber thickness are key factors modulating mask 3D effects and affecting printability and process window. Variable mask-side NA and flexible illumination make the SHARP actinic EUV microscope a powerful instrument for the study of mask 3D effects. We show an application example, comparing mask 3D effects for a standard Tantalum Nitride absorber and a thinner, 40-nm Nickel absorber. Data is presented for 0.33 4xNA and anamorphic 0.55 4x/8xNA. The influence of different illumination settings on mask 3D effects is discussed.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

Challenges to realize the EUV-FEL high-power light source for HVM system (Conference Presentation)

Norio Nakamura; E. Kako; Ryukou Kato; Tsukasa Miyajima; Hiroshi Kawata; Paolo A. Gargini; Kurt G. Ronse; Patrick P. Naulleau; Toshiro Itani

It is important to develop the high power EUV light source up to 1 kW to realize the 3nm node, which is expected to be in production at 2023-24. To this end, an energy recovery linac (ERL)-based free electron laser (FEL) must be a most promising candidate, so that our group has done some feasibility studies from the view point of accelerator technology. In order to realize the EUV-FEL high power light source, it is also important to recognize the demand of end users and related problems on the FEL light source. Last year, we attended many conferences and workshops to learn these items and also we organized one day workshop “EUV-FEL Workshop” at Tokyo. You can find the presentation materials in a website of http://pfwww.kek.jp/PEARL/EUV-FEL_Workshop/presentaions.html. nOne of the most important requirements is to reduce the size of the EUV-FEL system. The total system size is about 200 m (L).x 20 m (W) at our current design of the EUV-FEL with 160m linac, where the acceleration energy and current are 800 MeV and 10 mA, respectively. However, we had comments from semiconductor industry that it is too long to install the light source in a usual LSI Fab, so that we have to find out solutions to reduce the length of the accelerator systems to ~100 m. To this end, there are following several challenges. n1) Increasing the field gradient of the superconducting RF (SRF) cavity to reduce the total length of the linac.n2) Higher Q to reduce the RF loss in higher field gradient SRF cavity.n3) Reduction of the acceleration energy by introducing shorter period undulator .n4) Double loop accelerator system, in which the electron passes through a same linac twice and accelerated up to twice energy or accelerating cavities are placed on both loop sides.nThe R&D directions of the above challenges on accelerator technologies will be presented.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

A 1kW EUV source for lithography based on FEL emission in a compact storage ring

Michael Feser; Ronald D. Ruth; Rod Loewen; Paolo A. Gargini; Kurt G. Ronse; Patrick Naulleau; Toshiro Itani

EUV has long been hailed as the next generation lithography technology. Its adoption into high volume manufacturing (HVM), however, has been delayed several technology nodes due to technical issues, many of which can be attributed to the EUV source performance. Today’s EUV lithography scanners are powered by laser produce plasma (LPP) sources. They have issues with power scaling beyond 300 W, reliability and contamination. Free Electron Lasers (FELs) have been considered as an alternative EUV source. Advantages of accelerator based sources are the maturity of the accelerator technology, lack of debris/contamination, and ability to provide high power. Industry turned away from this technology because of the requirement to feed up to 10 scanners from one linear FEL to make it economically feasible, the large footprint, and generation of radioactive byproducts. All of these issues are overcome in the presented concept using a compact storage ring with steady-state FEL lasing action. At 1 kW output power, comparable cost and footprint to an LPP source, this source is ideally suited for use on a single scanner and promises reliable, contamination free operation. FEL action in the storage ring is sustained by operating the FEL well below the saturation regime and preserving the equilibrium low emittance and energy distribution of the ring.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

EUV Infrastructure: EUV photomask backside cleaning (Conference Presentation)

Jack Stoof; My-Phung Van; Rudy Jan Maria Pellens; Reinout Dekkers; Jan-Pieter Kuijten; Bruce Fender; Dusty Leonhard; Hugo Breuer; Paolo A. Gargini; Kurt G. Ronse; Patrick Naulleau; Toshiro Itani

EUV Infrastructure: EUV photomask backside cleaningnnApplied Materials as first author: Bruce J. Fender, Dusty Leonhard, Hugo Breuer, Jack StoofnASML: My Phung Van, Rudy Pellens, Reinout Dekkers, Jan Pieter KuijtennnDue to electrostatic chucking of the backside of EUV masks, backside cleanliness in EUV lithography is an important factor. Contamination on the backside can cause damage to reticle (e-chuck), cross-contaminate to the scanner or cause local distortions in the reticle. Cleaning of the masks offers a solution to reduce the defectivity level on reticles. However, repeated cleaning on masks is known to have an impact on absorber, CD and reflectivity. Ideally, cleaning should occur without any alterations to the critical features on the front side of the mask. With the introduction of pellicles for EUV, there could be an additional drive for backside-only cleaning.nIn this work the GuardianTM Technology is introduced that enables backside cleaning without any cleaning impact on the reticle front side through a protective seal at the outer edge of the mask. The seal protects the front side during the backside clean. The cleaning process encompasses a single-sided pre-clean oxygen plasma treatment of the mask surface, followed by sonic cleaning, and ending with a rinse and dry step. Separating the mask backside from front side enables:n• Backside cleaning without any cleaning impact on features on the mask front side.n• The isolation allows an aggressive cleaning of the backside to ensure defect removal.n• Processing of reticle with studs on the front side. This prevents unnecessary actions of stud removal and removal of the remaining glue after stud removal and subsequent gluing of the studs after cleaning.nJust before chucking of a reticle, the defectivity level on the mask is initially inspected with an in-scanner reticle backside inspection tool. The GuardianTM cleaning process is able to remove the vast majority of the cleanable defects that could impact scanner performance. Post GuardianTM clean interferometric microscope defect review reveals the remaining defects > 25-μm-PSL are ~78% are indent/damage and 11% are defects with insignificant height to impact scanner performance or cleanliness.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

Single element and metal alloy novel EUV mask absorbers for improved imaging (Conference Presentation)

Eric Hendrickx; Jens Kruemberg; Christian Reuter; Vicky Philipsen; Kim Vu Luong; Laurent Souriau; E. Altamirano-Sanchez; Christoph Adelmann; Christian Laubis; Frank Scholtze; Paolo A. Gargini; Kurt G. Ronse; Patrick Naulleau; Toshiro Itani

Current EUV mask technology uses Ta-based metallic absorber layer, on top of a reflective multilayer mirror. Multiple studies have shown that the optical constants and the required 50-70nm thickness of Ta-based metallic absorber at EUV wavelength, do not offer an optimal wafer image, and, for example, produce images with pitch and illumination dependent best focus shifts for patterns at Foundry N5 dimensions. Alternative metal absorbers with higher absorptivity than Ta, such as Ni and Co have been proposed and, in simulation, show improved imaging at <40nm thickness. nnThe replacement of a Ta- absorber by a new type of metal is a formidable task for the mask industry. A novel absorber must not only meet the criteria for improved imaging, but also must meet the required material properties that make it compatible with different steps in mask blank and subsequent mask manufacturing, such as a controlled deposition technique, availability of a patterning process for mask patterning, and be compatible with mask inspection, repair, and cleaning.nnWe have started an experimental evaluation of the properties of thin metal Ni and Co films, and alloys of Ni, considering imaging performance and mask manufacturability. Rigorous lithographic simulations are used to screen potential absorber materials for their imaging properties at Foundry N5 dimensions, and find optimal thickness. The microscopic structure of the thin films was determined using X-ray, X-SEM and X-TEM techniques, and optical constants were measured using ellipsometry at EUV wavelength. Towards mask manufacturing, patterning performance, and resistance to typical mask cleaning chemicals was evaluated experimentally.nnStandard deposition of Ni and Co metals yielded polycrystalline thin films, that prove difficult to pattern using a traditional etch process. In addition, Co films were found to be affected by standard mask cleaning chemistry. Hence, if Ni and Co are required as new mask materials, also novel patterning techniques will have to be used, that may be additive rather than subtractive. To illustrate this, we show promising performance for area selective Co deposition techniques.nnTo identify new materials, that have better properties towards manufacturing than single-element Ni and Co, we have started the evaluation of metal alloys, at different elemental ratios. This allows to combine Ni with an element that has refractive index closer to 1, or with an element that has even higher absorptivity. The films of metal alloys have been characterized in a similar way as the single element metals, so that they can be compared to single element metals as suitable materials for mask manufacturing.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

Addressing EUV masks registration challenges through closed loop correction (Conference Presentation)

Avi Cohen; Ofir Sharoni; Dirk Beyer; Christian Ehrlich; Paolo A. Gargini; Kurt G. Ronse; Patrick Naulleau; Toshiro Itani

Addressing EUV masks registration challenges through closed loop correctionnnAvi Cohen1, Ofir Sharoni1, Dirk Beyer2, Christian Ehrlich2n1Carl Zeiss SMS Ltd. Karmiel , Hadolev 3, 20156 Bar Lev Industrial Park, Israel n2Carl Zeiss SMT GmbH, Carl-Zeiss-Promenade 10, 07745 Jena, GermanynnABSTRACTnnEUV lithography is expected to become a critical enabling technology in the short and mid future of high end IC manufacturing. Although much effort is going into process and manufacturing challenges and inroads are being made in the industry, some process residuals will still exist with the move to HVM and among them will be the mask registration errors and the on product overlay (OPO).nnThe PROVE® system is the state of the art high end registration metrology tool capable of measuring both DUV as well as EUV masks. The ForTune® EUV utilizes an ultra short pulse laser to modify the mask substrate in order to correct registration errors and bring the mask into specification. Combining the metrology capabilities of the PROVE® with the corrective capabilities of the ForTune® EUV allows a closed loop solution in which the registration metrology data is utilized to feed forward the correction job needed to be applied over the mask in the ForTune® EUV.nnThis paper investigates the ability to improve mask registration on EUV masks using closed loop feedback between the PROVE® and ForTune® systems. Initial registration data from an EUV mask is measured by the PROVE® and utilized to both calculate the mask tuning job as well as predict the improvement prior to actual procession. After carrying out the registration correction on the ForTune® EUV, the EUV mask is again measured on the PROVE® to evaluate the ForTune® EUV process nnThe registration is measured with the PROVE® and the data is utilized by the Advanced Tuning Center, a FAVOR® solution, to prepare the job for the ForTune® EUV correction. nnKEYWORDS: EUV, ForTune®, PROVE®, EUV mask, overlay correction, registration correction,

Collaboration


Dive into the Toshiro Itani's collaboration.

Top Co-Authors

Avatar

Kurt G. Ronse

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Weilun Chao

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge