Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hiroki Nakagawa is active.

Publication


Featured researches published by Hiroki Nakagawa.


Proceedings of SPIE | 2012

EUV resist development for 16nm half pitch

Ken Maruyama; Hiroki Nakagawa; Shalini Sharma; Yoshi Hishiro; Makoto Shimizu; Tooru Kimura

In order to resolve 16 nm half pitch and beyond upon EUV exposure, we have developed new materials for not only resists but also for under layer materials. As for resist, short acid diffusion length photo-acid generator (PAG) was developed for high resolution. As for under layer, new material with high contact angle (CA) improved line collapse margin towards printing of minimum feature size. It was found that CA of under layer was one of the important factors for resolution improvement. Furthermore, effect of development time was investigated to improve resolution. Short development time gained resolution improvement compared with long one. Finally, combination of these results was investigated. As a result, JSR EUV resist showed the potential of 15nm half pitch resolution.


Advances in resist technology and processing. Conference | 2005

Material design for immersion lithography with high refractive index fluid (HIF)

Takashi Miyamatsu; Yong Wang; Motoyuki Shima; Shiro Kusumoto; Takashi Chiba; Hiroki Nakagawa; Katsuhiko Hieda; Tsutomu Shimokawa

ArF immersion lithography is considered as the most promising next generation technology which enables to a 45 nm node device manufacturing and below. Not only depth of focus enlargement, immersion lithography enables to use hyper numerical aperture (NA) larger than 1.0 and achieve higher resolution capability. For 193nm lithography, water is an ideal immersion fluid, providing suitable refractive index and transmission properties. Furthermore the higher refractive index fluid is expected to provide a potential extension of optical lithography to the 32 nm node. This paper describes the material design for immersion lithography with high refractive index fluid. We have developed promising high refractive index fluids which satisfy the requirement for immersion fluid by screening wide variety of organic compounds. The physical and chemical properties of this high refractive index fluid are discussed in detail. Also the topcoat material which has good matching with high refractive index fluid is developed. While this topcoat material is soluble into aqueous TMAH developer, it does not dissolve into water or high refractive index fluid and gives suitable contact angle for immersion scan exposure. Immersion exposure experiments using high refractive index fluid with and w/o topcoat material was carried out and its lithographic performance is presented in this paper.


Proceedings of SPIE | 2012

Key parameters of EUV resists for contact hole applications

Kyoungyong Cho; Hiroki Nakagawa; Ken Maruyama; Makoto Shimizu; Tooru Kimura; Yoshi Hishiro

Patterning contact hole (CH) features with good critical dimension uniformity (CDU) is one of the most critical challenges for 10nm node lithography and beyond. Extreme ultraviolet lithography (EUVL) is considered a potential candidate because of its better aerial imaging and larger k1 factor than ArF immersion. To apply EUV lithography to high volume manufacturing, EUV resists must overcome both the trade-off among resolution (R), local CD uniformity (LCDU), and sensitivity (S) at CH features and the RLS trade-off at line/space (LS) features. We evaluated various resist materials for CH patterning applications using the microexposure tools (METs) at SEMATECH in Albany, NY, and at Lawrence Berkeley National Laboratory. In this study, we report the correlation between the lithographic performance of EUV resist at CH features and physical properties of chemically amplified resists (CARs) such as their dissolution behavior, the activation energy level of the protective group, and the acidity/acid diffusion length of the photoacid generator (PAG).


Proceedings of SPIE | 2012

Effects of out-of-band radiation on EUV resist performance

Koji Inukai; Shalini Sharma; Hiroki Nakagawa; Makoto Shimizu; Tooru Kimura

Extreme ultraviolet (EUV) lithography high volume manufacturing tools are expected to use laser produced plasma sources to generate EUV radiation necessary for resist exposure. EUV light from laser sources emit light over a wide spectral range or popularly known as out-of-band (OOB) radiation along with the desired wavelength. EUV resists are sensitive to both EUV and OOB radiation because a fair amount of the EUV photoresists are based on materials designed for 193 nm and 248 nm. Some of the detrimental effects of OOB radiation within the lithography process can be seen in the form of photoresist film thickness loss, which in turn results in profile degradation. Therefore development of EUV resists which are insensitive to OOB radiation is very important. We investigated EUV resist patterning performance and the effect of OOB radiation specifically in the DUV (193 nm and 248 nm) wavelength range. Resist materials with various DUV absorbance were prepared, and less OOB sensitive materials were found. Moreover, in this study effective top-coat type material for OOB reduction was developed and its effectiveness was confirmed by EUV exposure results.


Proceedings of SPIE | 2009

Study of residue type defect formation mechanism and the effect of advanced defect reduction (ADR) rinse process

Hiroshi Arima; Yuichi Yoshida; Kousuke Yoshihara; Tsuyoshi Shibata; Yuki Kushida; Hiroki Nakagawa; Yukio Nishimura; Yoshikazu Yamaguchi

Residue type defect is one of yield detractors in lithography process. It is known that occurrence of the residue type defect is dependent on resist development process and the defect is reduced by optimized rinsing condition. However, the defect formation is affected by resist materials and substrate conditions. Therefore, it is necessary to optimize the development process condition by each mask level. Those optimization steps require a large amount of time and effort. The formation mechanism is investigated from viewpoint of both material and process. The defect formation is affected by resist material types, substrate condition and development process condition (D.I.W. rinse step). Optimized resist formulation and new rinse technology significantly reduce the residue type defect.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Improvement of watermark defect in immersion lithography: mechanism of watermark defect formation and its reduction by using alkaline-soluble immersion topcoat

Hiroki Nakagawa; Atsushi Nakamura; Hiroshi Dougauchi; Motoyuki Shima; Shiro Kusumoto; Tsutomu Shimokawa

ArF (193nm) immersion lithography is considered as the most promising next generation technology and significant effort to establish the immersion process for semiconductor device HVM is currently focused on the tool, material and process development. One of the serious issues in the immersion process for the commercial semiconductor production is the immersion-specific defects. Typical immersion-specific defects are nanobubble, watermark (W/M) defect, and degradation of pattern profile caused by resist components leaching. The nanobubbles, which exist in the immersion medium such as water, deform the optical image, and then cause the pattern profile degradation. Small water droplet left on the resist film after scanning exposure causes W/M defect. Leaching of resist component induces insufficient de-protection reaction at the resist surface region, then cause the T-top pattern profile or bridge type defect. Among these immersion-specific defects, the effective countermeasure against W/M defect has not been established yet, because the mechanism of W/M defect formation is not fully figured out. From the model experimental result, we have found that W/M defect formation depends on the characteristics of photoresist and topcoat materials. Then we have developed the new immersion topcoat which is soluble into aqueous TMAH developer, and this material provides practical solution for W/M defect reduction. In this paper, we will report the mechanism of W/M defect formation which is related to the characteristics of photoresist and topcoat material. Also W/M defect reduction process by using alkaline soluble immersion topcoat will be discussed in detail.


Proceedings of SPIE | 2012

The SEMATECH Berkeley MET: demonstration of 15-nm half-pitch in chemically amplified EUV resist and sensitivity of EUV resists at 6.x-nm

Christopher N. Anderson; Dominic Ashworth; Lorie Mae Baclea-an; Suchit Bhattari; Rikos Chao; Rene Claus; Paul Denham; Kenneth A. Goldberg; Andrew Grenville; Gideon Jones; Ryan Miyakawa; Ken Murayama; Hiroki Nakagawa; Senajith Rekawa; Jason K. Stowers; Patrick P. Naulleau

EUV exposures at the SEMATECH Berkeley Microfield Exposure Tool have demonstrated patterning down to 15 nm half pitch in a chemically amplified resist at a dose of 30 mJ/cm2. In addition, the sensitivity of two organic chemically amplified EUV resists has been measured at 6.7 nm and 13.5 nm and the sensitivity at 6.7 nm is shown to be a factor of 6 lower than the sensitivity at 13.5 nm. The reduction of the sensitivity of each resist at 6.7 nm relative to the sensitivity at 13.5 is shown to be correlated to a reduction of the mass attenuation coefficients of the elements involved with photoabsorption.


Proceedings of SPIE | 2007

Process optimization for developer soluble immersion topcoat material

Hiroki Nakagawa; Kentarou Goto; Motoyuki Shima; Junichi Takahashi; Tsutomu Shimokawa; Katsunori Ichino; Naohiko Nagatani; Hideharu Kyoda; Kosuke Yoshihara

The 193 nm immersion lithography has been increasingly applied to the semiconductor device mass production. Topcoat material would be used in many such cases. Topcoat film can maximize the scan speed during immersion exposure step and also prevent small molecules from leaching out of resist film. However, the use of the topcoat material in the mass production affects productivity including throughput and chemical cost. To manage this problem, we attempted to improve topcoat coating process to reduce the topcoat material consumption. Using JSR NFC TCX041, the developersoluble type topcoat material, as a model material, we examined a new coating process which introduces a pre-wet treatment using a solvent which was chosen to be appropriate for this purpose. With this new coating process, we achieved 65 percent (or more) reduction of the topcoat material consumption compared with the current standard coating process (dynamic coating). From the result of film surface observations and leaching tests, it was learned that the topcoat film properties by the new coating process are equivalent to those by the standard coating process. The process performance after development also indicated good results.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Immersion topcoat and resist material improvement study by using immersion scanner

Hiroki Nakagawa; Kenji Hoshiko; Motoyuki Shima; Shiro Kusumoto; Tsutomu Shimokawa; Katsushi Nakano; Tomoharu Fujiwara; Soichi Owa

ArF (193nm) immersion lithography is considered as the most promising next generation technology and significant effort to establish the immersion process for semiconductor device HVM is currently focused on the tool, material and process development. Immersion lithography enables the design of hyper numerical aperture (NA>1) lens systems by filling the gap between lens and resist with an immersion medium. Water is the ideal medium for 193nm immersion lithography and the water immersion system could reach up to 1.3 NA, giving higher resolution capability. There are several immersion specific requirements such as scanning properties and leaching characteristics. High speed scanning is necessary for mass production, so the water has to follow the lens and move on the resist film at considerably high speed. Direct water contact with resist film can cause the leaching of some resist components e.g. PAG. This leaching phenomenon could cause lithographic performance degradation and lens damage. Leaching and scanning phenomena are quite complicated and difficult to estimate the amount exactly with chemical analysis tools, so it is important to check the lithographic performance and scanning capability with a real immersion scanner. We have done many immersion experiments on various resists and top-coat materials using a Nikon immersion scanner (EET: Engineering Evaluation Tool). From the results, it was found that the properties of topcoat materials were closely related with immersion characteristics, such as scanning speed and defect formation. Specifically, defectivity evaluation results revealed that PAG leaching suppression was important for not only preventing lens damage but also reducing defect formation in the immersion process.


Archive | 2011

Radiation-sensitive resin composition and polymer

Takuma Ebata; Hiroki Nakagawa; Yasuhiko Matsuda; Kazuki Kasahara; Kenji Hoshiko; Hiromitsu Nakashima; Norihiko Ikeda; Kaori Sakai; Saki Harada

Collaboration


Dive into the Hiroki Nakagawa's collaboration.

Top Co-Authors

Avatar

Yukio Nishimura

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Takashi Chiba

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Atsushi Nakamura

Nara Institute of Science and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Tsutomu Shimokawa

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Tsutomu Shimokawa

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge