Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hoa D. Truong is active.

Publication


Featured researches published by Hoa D. Truong.


Proceedings of SPIE | 2011

Self-assembly patterning for sub-15nm half-pitch: a transition from lab to fab

Christopher Dennis Bencher; Jeffrey Smith; Liyan Miao; Cathy Cai; Yongmei Chen; Joy Cheng; Daniel P. Sanders; Melia Tjio; Hoa D. Truong; Steven J. Holmes; William D. Hinsberg

Directed self-assembly is an emerging technology that to-date has been primarily driven by research efforts in university and corporate laboratory environments. Through these environments, we have seen many promising demonstrations of forming self-assembled structures with small half pitch (<15 nm), registration control, and various device-oriented shapes. Now, the attention turns to integrating these capabilities into a 300mm pilot fab, which can study directed selfassembly in the context of a semiconductor fabrication environment and equipment set. The primary aim of this study is to create a 300mm baseline process of record using a 12nm half-pitch PS-b-PMMA lamellae block copolymer in order to establish an initial measurement of the defect density due to inherent polymer phase separation defects such as dislocations and disclinations.


26th Annual International Symposium on Microlithography | 2001

Polymer design for 157-nm chemically amplified resists

Hiroshi Ito; Gregory M. Wallraff; Phillip J. Brock; Nicolette Fender; Hoa D. Truong; Gregory Breyta; Dolores C. Miller; Mark H. Sherwood; Robert D. Allen

Based on UV measurements at 157nm of in-house fluoropolymers we have selected (alpha) -trifluoromethylacrylate and norbornene bearing a pendant hexafluoroisopropanol group as our building blocks for 157nm resist polymers. Polymers consisting of these repeat units have an optical density/micrometers of 3 or below at 157nm. We have found that the (alpha) -trifluoromethylacrylate derivatives conveniently undergo radical copolymerization with norornenes, which has provided a breakthrough in preparation of our 157nm resist polymers. This approach offers flexibility and versatility because an acidic moiety or acid-labile group can be placed in either acrylate or norbornene repeat unit. Other platforms of interest include all acrylic, all-norbornene, and acrylic-styrenic polymers.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Aliphatic platforms for the design of 157-nm chemically amplified resists

Hiroshi Ito; Hoa D. Truong; Masaki Okazaki; Dolores C. Miller; Nicolette Fender; Gregory Breyta; Phillip J. Brock; Gregory M. Wallraff; Carl E. Larson; Robert D. Allen

Our primary platform for 157 nm positive resists is built on a copolymer of t-butyl 2-trifluoromethylacrylate (TBTFMA) and norbornene bearing hexafluoroisopropanol (NBHFA) as an acid group, which is prepared by radical copolymerization. The radical copolymerization of 2-trifluoromethylacrylic monomers with norbornene derivatives has been found through reactivity ratio determination and in situ 1H NMR analysis of kinetics to deviate from the terminal model but to follow the penultimate model. These copolymers typically contain >50 mol% TBTFMA, are lipophilic, and fail to provide good imaging due to poor wettability. Blending a homopolymer of NBHFA (optical density (OD)=1.7/micrometers at 157 nm) into the copolymers (OD=2.5-2.7/micrometers ) increases the hydrophilicity and reduces OD to 2.2-2.0/micrometers , providing high resolution images. Another platform we have identified is a copolymer of TBTFMA with vinyl ethers, which can be prepared by using a common radical initiator. Some of the vinyl ether copolymers are also homogeneously miscible with the NBHFA homopolymer and thus their OD and aqueous base development can be improved by blending.


Advances in resist technology and processing XVII | 2000

Dissolution/swelling behavior of cycloolefin polymers in aqueous base

Hiroshi Ito; Robert D. Allen; Juliann Opitz; Thomas I. Wallow; Hoa D. Truong; Donald C. Hofer; Pushkara Rao Varanasi; George M. Jordhamo; Saikumar Jayaraman; Richard Vicari

Polycycloolefins prepared by addition polymerization of norbornene derivatives are quite different from hydroxystyrene-based polymers in terms of their interaction with aqueous base. Their dissolution kinetics monitored on a quartz crystal microbalance is not a smooth function of the ratio of the polar to nonpolar functionalities in polymer but abruptly changes from very fast dissolution to massive swelling within a narrow range of composition. The maximum swelling is a function of thickness and the entire film thickness can swell in a few seconds at > 3,000 angstroms/sec or at immeasurably fast rates. The initial concentration of a pendant carboxylic acid in polymer has to be selected to minimize swelling and the concentration of an acid-labile group to induce fast dissolution in the exposed area. Furthermore, swelling which occurs in the partially- exposed regions must be minimized by incorporating a third monomer unit or by adding a dissolution modifying agent (DMA) such as t-butyl cholate. However, the function of DMA which is also acid-labile is quite complex; depending on the matrix polymer composition and its dissolution/swelling behavior, DMA could function as a swelling suppressor or promoter and a carboxylic acid generated by acidolysis of DMA as a dissolution or swelling promoter. Photochemically generated sulfonic acid could also affect the dissolution/swelling behavior. Base hydrolysis of anhydride during development is controlled by the polarity (carboxylic acid concentration) in polymer film, which has been demonstrated in an unequivocal fashion by IR spectroscopy under the condition strongly mimicking the development process and thus could boost development contrast but could hurt performance as well. Thus, incorporation of carboxylic acid in the form of methacrylic acid, for example, in radical copolymerization of norbornene with maleic anhydride must be handled carefully as it would increase the susceptibility of the anhydride hydrolysis and could introduce heterogeneity in the polymer as methacrylic acid is rapidly consumed, producing a terpolymer containing a different molar concentration of norbornene and maleic anhydride (a proof against the commonly believed charge transfer polymerization mechanism).


Proceedings of SPIE | 2007

Fluoro-alcohol materials with tailored interfacial properties for immersion lithography

Daniel P. Sanders; Linda K. Sundberg; Ratnam Sooriyakumaran; Phillip J. Brock; Richard Anthony DiPietro; Hoa D. Truong; Dolores C. Miller; Margaret C. Lawson; Robert D. Allen

Immersion lithography has placed a number of additional performance criteria on already stressed resist materials. Much work over the past few years has shown that controlling the water-resist interface is critical to enabling high scan rates (i.e. throughput) while minimizing film pulling and PAG extraction (i.e. defectivity). Protective topcoat polymers were developed to control the aforementioned interfacial properties and emerged as key enablers of 193 nm immersion lithography. Achieving the delicate balance between the low surface energies required for high water contact angles (generally achieved via the incorporation of fluorinated groups) and the base solubility required for topcoat removal is challenging. More recently, additional strategies using fluoropolymer materials to control the water-resist interface have been developed to afford topcoat-free resist systems. In our explorations of fluoroalcohol-based topcoat materials, we have discovered a number of structure-property relationships of which advantage can be taken to tailor the interfacial properties of these fluorinated materials. This paper will address the effect of structure on immersion specific properties such as water contact angle, aqueous base contact angle, and dissolution rate.


26th Annual International Symposium on Microlithography | 2001

IBM 193-nm bilayer resist: materials, lithographic performance, and optimization

Ranee W. Kwong; Pushkara Rao Varanasi; Margaret C. Lawson; Timothy Hughes; George M. Jordhamo; Mahmoud Khojasteh; Arpan P. Mahorowala; Ratnam Sooriyakumaran; Phillip J. Brock; Carl E. Larson; Debra Fenzel-Alexander; Hoa D. Truong; Robert D. Allen

193nm lithography will be the future technology for sub- 150nm resolution. As the dimensions get smaller, resist thickness is also needed to be reduced for better resolution and wider process window. Single layer 193nm resist, with thickness of less than 500nm, may not be able to satisfy some of the substrate etch requirement. With bilayer resist scheme, the thin resist offers the advantages of high resolution and good process window. The thick underlayer provides the etch resistance required for substrate etching. IBM has developed a silane substituted alternating copolymer based 193nm bilayer resist system and demonstrates sub-120nm resolution using Nikon 0.6NA stepper with Chrome on Glass (COG) mask. Lithographic performance and formulation optimizations of this 193nm bilayer resist as well as underlayer evaluation and some etch study will be discussed.


Advances in Resist Technology and Processing XX | 2003

Rational design in cyclic olefin resists for sub-100-nm lithography

Wenjie Li; Pushkara Rao Varanasi; Margaret C. Lawson; Ranee W. Kwong; Kuang-Jung Chen; Hiroshi Ito; Hoa D. Truong; Robert D. Allen; Masafumi Yamamoto; Eiichi Kobayashi; Mark Slezak

In an effort to design our next generation resist materials for sub-100nm lithography, we have introduced the hexafluoroisopropanol (HFA) functionality into the cyclic olefin (CO) polymer structure. It is found that the fluorine-containing HFA group not only helps reduce the 157nm optical density (O.D.) of the polymer and makes it suitable for 157nm application, but also dramatically improves the dissolution properties of the resulting CO polymer. Copolymers with a wide range (20-80%) of norbornene HFA (NBHFA) concentrations show little swelling behavior in aqueous base developer. The dissolution properties of the CO polymer could be further improved by combining the HFA and lactone structures in the CO polymers. This new version of CO polymers maintain a good etch resistance and excellent surface roughness after etch despite the fluorine content. Lithographic evaluation of resists based on these polymers (Cobra 5K) using a 193nm exposure tool (0.75 NA) reveals that Cobra 5K has a low post exposure bake (PEB) sensitivity (<0.5 nm/°C), good process window for both line/space (L/S) and contact holes patterns, and outstanding resolution capabilities especially for contacts application (<100nm).


Proceedings of SPIE | 2011

Bound PAG resists: an EUV and electron beam lithography performance comparison of fluoropolymers

Luisa D. Bozano; Phillip J. Brock; Hoa D. Truong; Martha I. Sanchez; Gregory M. Wallraff; William D. Hinsberg; Robert D. Allen; Masaki Fujiwara; Kazuhiko Maeda

One of the most promising resist design strategies for the development of high resolution materials for EUV lithography is the PAG anion-bound polymer approach. We have published several reports in the past few years on the structure/property relationships of anion bound PAG resist polymers. This paper will focus on relative performance of novel bound PAG polymers in EUV and electron beam lithographies. We will analyze the performance characteristics of a series of well characterized bound PAG resist polymers using several polymerizable PAG monomers. Due to the limited access to EUV exposure tools, we analyzed the initial lithographic performance with electron beam lithography for improved cycles of learning. We have found several examples of poor correlation between EUV and e-beam (EB) lithography results. We will offer rational for the difference in performance, with the goal of improved insight into both EB and EUV resist design.


Proceedings of SPIE | 2008

Lactones in 193 nm resists: What do they do?

Hiroshi Ito; Hoa D. Truong; Phil Brock

Lactones are almost ubiquitously employed in 193 nm resists to increase the polarity of hydrophobic alicyclic polymers. What else do lactones do in 193 nm resists? We studied the behavior of methacrylate (MA) resists consisting of different protecting groups, hexafluoroalcohols, and norbornane lactone methacrylate (NLM, 2-oxo-3-oxatricyclo[4.2.1.04,8]nonan-5-yl methacrylate). When the protecting group is large [ethylcyclooctyl (ECO) and methyladamantyl (MAd)], thinning of the resist film that occurs in highly exposed areas upon postexposure bake (PEB) is significantly smaller than what is expected from the polymer composition. When the concentration of isopropylhexafluoroalcohol methacrylate (iPrHFAMA) is increased in the ECOMA-NLM polymer, the thinning increases and reaches 100% of theory and the ECOMA-norbornenehexafluoroalcohol methacrylate (NBHFAMA) resist loses quantitative thickness in highly-exposed areas upon PEB at 90 °C. This indicates that small lactones which are more basic than esters can trap deprotection fragments especially when the protecting group is large. Such entrapment was detected by IR spectroscopy and also observed at temperatures as high as 200 °C in thermogravimetric analysis (TGA). Incorporation of lactone appears to decrease the bake temperature sensitivity and the sensitivity of the resist perhaps due to trapping of photochemically generated acids by basic lactone. The lactone ring can be hydrolyzed during aqueous base development but does not seem to affect the dissolution rate, indicating that hydrolysis occurs in aqueous base solution after dissolution. Poly(methacrylic acid-NLM) dissolves as fast as poly(methacrylic acid) in 0.26 N tetramethylammonium hydroxide (TMAH) aqueous solution. While exposed P(ECOMA47-NLM53) resist dissolves in 0.26 N developer at about the same rate as authentically prepared poly(methacrylic acid47-NLM53), the dissolution rate of highly-exposed P(MAdMA44-NLM56) resist is much slower, indicating that the deprotection fragment from the former does not interfere with the development but that from the latter does. When the NLM concentration is increased to 75 %, highly exposed P(ECOMA-NLM) resist dissolves slowly at ca. 600 A/sec and swells significantly, indicating that NLM can be a dissolution inhibitor and swelling enhancer when its concentration is high. Low activation energy protecting groups such as ethylcyclooctyl allows imaging at temperatures as low as 60 °C. However, the temperature dependence of the dose to clear is very large and the chemical contrast is quite small in the low temperature range. Thus, for PEB temperature stability and contrast enhancement, baking 20-30 °C above the lowest practical temperature is recommended.


Proceedings of SPIE | 2008

Self-segregating materials for immersion lithography

Daniel P. Sanders; Linda K. Sundberg; Phillip J. Brock; Hiroshi Ito; Hoa D. Truong; Robert D. Allen; Gregory McIntyre; Dario L. Goldfarb

In this paper, we employ the self-segregating materials approach used in topcoat-free resists for water immersion lithography to extend the performance of topcoat materials for water immersion and to increase the contact angles of organic fluids on topcoat-free resists for high index immersion lithography. By tailoring polymers that segregate to the air and resist interfaces of the topcoat, high contact angle topcoats with relatively low fluorine content are achieved. While graded topcoats may extend the performance and/or reduce the cost of topcoat materials, the large amount of unprotected acidic groups necessary for TMAH development prevent them from achieving the high contact angles and low hysteresis exhibited by topcoat-free resists. Another application of this self-segregating approach is tailoring resist surfaces for high index immersion. Due to the low surface tension and higher viscosities of organic fluids relative to water and their lower contact angles on most surfaces, film pulling cannot be prevented without dramatically reducing wafer scan rates; however, tuning the surface energy of the resist may be important to control stain morphology and facilitate fluid removal from the wafer. By tailoring fluoropolymer additives for high contact angles with second generation organic high index immersion fluids, we show herein that topcoat-free resists can be developed specifically for high index immersion lithography with good contact angles and lithographic imaging performance.

Researchain Logo
Decentralizing Knowledge