Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Holger Sailer is active.

Publication


Featured researches published by Holger Sailer.


Journal of Vacuum Science & Technology B | 2002

Evaluation of calixarene—derivatives as high-resolution negative tone electron-beam resists

Holger Sailer; Alexander Ruderisch; Dieter P. Kern; Volker Schurig

We present a systematic evaluation of calixarene derivatives as high-resolution negative tone resists for electron-beam lithography with a focus on their sensitivities. We studied the effect of a modification of the calixarene molecules to trimethysilyl ethers as well as the effect of an introduction of an allyl group and showed that the introduction of double bonds into the molecules can improve the sensitivity of the resists while their position does not seem to be important. The high-resolution capability of tetraallylcalix[4]arene was confirmed by fabricating an 18 nm dot array.


Microelectronic Engineering | 2003

Studies on sensitivity and etching resistance of calix[4]arene derivatives as negative tone electron beam resists

Alexander Ruderisch; Holger Sailer; Volker Schurig; Dieter P. Kern

Several lower rim derivatives of calix[4]arene are compared as high resolution negative tone resists for electron beam lithography (EBL). The sensitivity of these derivatives is discussed in this paper. The suitability of calix[4]arene derivatives as top layers in bilayer resist systems is evaluated in studies on the etching resistance in an oxygen plasma. It is demonstrated that etching resistance can be improved by silylation of the resist molecules. The use of tetra(trimethylsilyloxy)calix[4]arene is compared to a common silylation step of an exposed tetraallylcalix[4]arene resist.


Journal of Vacuum Science & Technology B | 2004

High resolution electron beam lithography using a chemically amplified calix[4]arene based resist

Holger Sailer; Alexander Ruderisch; W. Henschel; Volker Schurig; Dieter P. Kern

The calix[4]arene based resist tetrakis(oxiran-2-ylmethoxy)-tetra-tert-butylcalix[4]arene is presented. The well known chemical amplification techniques can be applied to the nonpolymeric class of calixarenes resulting in area doses below 100μC∕cm2 at 30keV beam energy. This is achieved by application of the concept of cationic polymerization to a calix[4]arene derivative bearing four epoxide residues together with a photoacid generating triarylsulfonium salt. The high resolution capabilities of this calixarene based resist remain mainly intact under optimized bake parameters.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Charged particle multi-beam lithography evaluations for sub-16nm hp mask node fabrication and wafer direct write

Elmar Platzgummer; Christof Klein; Peter Joechl; Hans Loeschner; Martin Witt; W. Pilz; Joerg Butschke; Michael Jurisch; Florian Letzkus; Holger Sailer; Mathias Irmscher

A detailed evaluation study has been performed with respect to the suitability of projection electron and ion multi-beam lithography for the fabrication of leading-edge complex masks. The study includes recent results as obtained with electron and ion multi-beam proof-of-concept systems with 200x reduction projection optics where patterns are generated on substrates using a programmable aperture plate system (APS) with integrated CMOS electronics, generating several thousands of well defined beams in parallel. A comparison of electron and ion projection multi-beam writing is provided, in particular with respect to the suitability to expose non-chemically amplified resist (non-CAR) materials. The extendibility of projection multi-beam technologies for 16nm hp, 11nm hp and 8nm hp mask nodes is discussed as well as for wafer direct write for 22nm hp and below.


Journal of Vacuum Science & Technology B | 2005

Investigations on the mechanism of silicon etching with chlorine-trifluoride

Arnim Höchst; Frank Fischer; Gunter Kirbach; Andrea Urban; Volker Becker; Mathias Irmscher; Holger Sailer; Dieter P. Kern

We investigated chlorine trifluoride (ClF3) etching of silicon with a patterned oxide mask layer prepared by e-beam lithography. The mask apertures varied from 0.1μmto300μm. This enables to adjust the flow rate of ClF3 molecules into the etched cavity leading to a strong variation of the ClF3 abundance at the silicon surface. A crucial dependence of the etch rate on the aperture area was observed revealing a maximum of the etch rate for a specific ClF3 abundance. A physical description of the etch process is developed in order to distinguish between different mechanisms within the etching process. At low ClF3 abundance the etch rate is limited due to a lack of ClF3. For high abundance the etch rate is assumed to be hampered by a diffusion like transport of ClF3 molecules through a fluorosilyl layer formed on the silicon surface. It can be shown that the etch rate of silicon with ClF3 is not limited by the chemical reaction at high ClF3 abundance. Additionally, we observed a change in etching behavior from...


Proceedings of SPIE | 2008

High resolution nanoimprint templates for dual damascene: fabrication and imprint results

Mathias Irmscher; Joerg Butschke; Ron Carpio; Brook Chao; Wei-Lun Jen; Corinna Koepernik; Lorenz Nedelmann; Jordan Owens; Frank Palmieri; Marcus Pritschow; Christian Reuter; Holger Sailer; Ken Satoodeh; Jeff Wetzel; Bruce Wilks; Grant Willson

A dual damascene template fabrication process has been developed, which enables the structuring of high-resolution, high-aspect pillars on top of lines. Based on this technology templates with three different designs have been fabricated and characterized. Two templates are dedicated for an assessment of the fabrication process using a regular test design on one hand and an arbitrary CMOS design on the other hand. With the third template via chains shall be later realized as demonstrator for electrical tests. The templates have been imprinted in resist and sacrificial material on an Imprio 55 and an Imprio 100 tool. The usability of each fabricated template could be confirmed for the specific application. For the template manufacturing a Vistec variable shape e-beam (VSB) writer SB352HR and appropriate positive-tone and negative-tone chemically amplified resists (CAR) have been used.


Proceedings of SPIE, the International Society for Optical Engineering | 2005

EUVL mask manufacturing: technologies and results

Florian Letzkus; Joerg Butschke; Mathias Irmscher; Holger Sailer; Uwe Dersch; Christian Holfeld

Extreme Ultraviolet Lithography (EUVL) is the favourite next generation lithography candidate for IC device manufacturing with feature sizes beyond 32nm. Different stacks and manufacturing concepts have been published for the fabrication of the reflective EUVL masks. Patterning processes for two different absorber-buffer combinations on top of the reflective multi layer mirror have been developed. A TaN/SiO2 absorber-buffer stack was provided by supplier A and TaBN/Cr by supplier B. In addition both absorbers were covered by an anti reflective coating (ARC) layer. An e-beam patterned 300nm thick film of Fuji FEP171 was used as resist mask. We optimized the etching processes for maximum selectivities between absorber, buffer and capping layers on the one hand and rectangular profiles and low etch bias on the other hand. While both TaN based absorbers have been dry etched in an UNAXIS mask etcher III, wet and dry etch steps have been evaluated for the two different buffer layers. The minimum feature size of lines and holes in our test designs was 100nm. After freezing the processes a proximity correction was determined considering both, the influence of electron scattering due to e-beam exposure and the influence of the patterning steps. Due to the correction an outstanding linearity and iso/dense bias on different test designs was achieved. Various masks for printing experiments at the small-field Micro Exposure Tool (MET) in Berkeley and the fabrication of the ASML α-tool setup mask within the European MEDEA+ EXTUMASK project were done using the developed processes. Finally, we will compare and discuss the results of the two stack approaches.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Inspection and repair for imprint lithography at 32 nm and below

Kosta Selinidis; Ecron Thompson; S. V. Sreenivasan; Douglas J. Resnick; Marcus Pritschow; Joerg Butschke; Mathias Irmscher; Holger Sailer; Harald Dobberstein

Step and Flash Imprint involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed leaving a patterned solid on the substrate. Compatibility with existing CMOS processes requires a mask infrastructure in which resolution, inspection and repair are all addressed. The purpose of this paper is to understand the progress made in inspection and repair of 1X imprint masks A 32 nm programmed defect mask was fabricated. Patterns included in the mask consisted of an SRAM Metal 1 cell, dense lines, and dense arrays of pillars. Programmed defect sizes started at 4 nm and increased to 48 nm in increments of 4 nm. These defects were then inspected using three different electron beam inspection systems. Defect sizes as small as 8 nm were detected, and detection limits were found to be a function of defect type. Both subtractive and additive repairs were attempted on SRAM Metal 1 cells. Repairs as small as 32nm were demonstrated, and the repair process was successfully tested for several hundreds of imprints.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Go proton: investigation on mask patterning for the 22nm hp node using a ML2 multibeam system

Joerg Butschke; Mathias Irmscher; Holger Sailer; Hans Loeschner; Elmar Platzgummer

A mask patterning process based on proton multi-beam exposure in combination with Opaque-Molybdenum-Over-Glass (OMOG) hard mask blank material has been developed. As non-chemically amplified resist, HSQ has been selected. Using the IMS Nanofabrication proof of concept proton Multi Beam System which is designed for 43,000 programmable ion beams, an acceptable exposure dose of around 25μC/cm2 has been determined for 10 keV protons. Assessment of the process flow has been done in terms of dose latitude, LER, LWR, CD variation, and resolution capability.


SPIE 31st International Symposium on Advanced Lithography | 2006

Evaluation of most recent chemically amplified resists for high resolution direct write using a Leica SB350 variable shaped beam writer

Anatol Schwersenz; Dirk Beyer; Monika Boettcher; Kang-Hoon Choi; Ulrich Denker; Christoph Hohle; Mathias Irmscher; Frank-Michael Kamm; Karl-Heinz Kliem; Johannes Kretz; Holger Sailer; Frank Thrum

E-beam direct writing, one node ahead of advanced optical lithography, can be a time and cost effective option for early device and technology development as well as for fast prototyping. Because of the device complexity only a variable-shaped e-beam writer combined with sensitive chemically amplified resists (CAR) can be considered for this approach. We evaluated various pCARs and nCARs of all major suppliers for our goal to structure DRAMs of the 50nm node using the Leica SB350 e-beam writer. The most promising samples were selected for a process optimization by variation of bake and development conditions. Finally, one resist of each tonality met the most of our specifications like dense lines and contact holes resolution, sensitivity and vacuum stability.

Collaboration


Dive into the Holger Sailer's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Ecron Thompson

University of Texas System

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge