Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ira Reiss is active.

Publication


Featured researches published by Ira Reiss.


Proceedings of SPIE | 2007

Defect mitigation and reduction in EUVL mask blanks

Rajul Randive; Andy Ma; Ira Reiss; Paul Mirkarimi; Eberhard Spiller; Bernd Beier; Toshiyuki Uno; Patrick Kearney; Chan-Uk Jeon

Fabrication of nearly defect-free mask blanks is one of the most significant challenges facing the commercialization of extreme ultraviolet lithography (EUVL). Despite significant advances in our ability to clean substrates, the incoming substrate contributes more defects than the multilayer to the total number of defects on our lowest defect density mask blanks. This is because cleaning processes are ineffective against substrate pits, which dominate the substrate defect distribution. Fortunately, defect mitigation methods have been developed that use a coat-and-etch process to smooth substrate pit and particle defects. We have designed and installed a process module specifically for smoothing substrate pits and particles. This process module has several new features, such as the ability to isolate the etch source during the deposition steps, and should enable cleaner planarizations than those done before. Currently, the greatest challenge for us is to demonstrate that the smoothing process can be rendered clean enough for manufacturing. We will present results on the particles added during planarization and the composition of these particles, which is critical to identifying their origin and eliminating them.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Evaluation of backside particle contamination and electrostatic chuck design on the cleanliness of EUV reticle mask blanks in a multilayer Mo/Si ion beam deposition system

Alan V. Hayes; Rajul Randive; Ira Reiss; J. Menendez; Patrick Kearney; T. Sugiyama

A key requirement for the success of EUV lithography is a high volume supply of defect-free Mo/Si multilayer (ML)- coated mask blanks. The process of fabricating mask blanks is particularly sensitive to particle contamination because decoration by the deposition of the reflective stack on sub-lithographic (< 22 nm) particles can create larger, printable defects. One possible source of added defects is the mask substrate fixturing method, which, in the Veeco ion beam deposition (IBD) system used to deposit our ML coatings, must allow tilt and rotation of a vertically oriented substrate. As commonly practiced, an electrostatic chuck (ESC) is used instead of a mechanical clamping fixture to avoid transferring particles to the front surface of the mask by mechanical clamping and declamping operations. However, a large number of particles can be introduced to the backside of the mask by electrostatic clamping. Up to now, there has been little concern about such backside particles, except for relatively large particles (> 1 micron) that may affect out-of-plane distortion of the mask in an EUV lithography tool. As the cleanliness of the EUV masks and mask blank fabrication approaches perfection, however, there is more concern that particles transferred from the backside to the frontside of the mask may be a significant issue. Such transfer may occur in the deposition chamber, in the substrate cassette, or in the transfer module and may be indirect. In this paper, we present data from characterizing the amount, size, shape, composition, and location of the backside particle defects generated by electrostatic clamping, using a particle counter and scanning electron microscope (SEM), and compare results for a pin-type e-chuck, which has a small contact area, with the standard flat e-chuck. The key result is a 10X to 30X reduction in the total number of backside particles for the pin chuck. Also, preliminary data indicates that the pin chuck stays cleaner under service conditions than the flat chuck. The exact elemental composition of the defects is sensitive to the clamping method and type of backside Cr coating. In general, for the flat chuck, Al defects, attributed to particles from the alumina chuck surface, are dominant. For the pin chuck, Si,Cr,N,O defects from the mask surface are mainly observed.


24th Annual BACUS Symposium on Photomask Technology | 2004

Overcoming substrate defect decoration effects in EUVL mask blank development

Patrick Kearney; Rajul Randive; Andy Ma; David Krick; Al Weaver; Ira Reiss; Daniel L. Abraham; Paul B. Mirkarimi

Mask blanks for extreme ultraviolet lithography (EUVL) are fabricated by depositing Mo/Si multilayer films on 6” square super polished substrates. These mask blanks must be almost defect-free and development of a suitable multiplayer deposition tool and process is crucial for the commercialization of EUVL. We will show that using current, real-world quartz substrates and our state-of-the-art defect inspection tool, that substrate defect decoration is an obstacle; this means that there appear to be many non-detectable substrate defects that become detectable once a reflective coating is deposited. This makes it very challenging to conduct accurate defect root cause analysis experiments. We have overcome this obstacle: it entails characterizing an already coated substrate for defects, which provides a suitable reference from which to measure the defects in the multilayer coating that is subsequently applied. We will demonstrate that this is a viable technique and that it enables a suitable defect baseline to be obtained; this is crucial to performing accurate root cause analysis experiments for potential defect sources/mechanisms.


Proceedings of SPIE | 2008

Ion beam deposition for defect-free EUVL mask blanks

Patrick Kearney; C. C. Lin; Takashi Sugiyama; Chan-Uk Jeon; Rajul Randive; Ira Reiss; Renga Rajan; Paul B. Mirkarimi

The availability of defect-free mask blanks is one of the most significant challenges facing the commercialization of extreme ultraviolet lithography (EUVL). The SEMATECH Mask Blank Development Center (MBDC) was created to drive the development of EUVL mask blanks to meet the industrys needs. EUV mask defects come from two primary sources: the incoming mask substrate and defects added during multilayer deposition. For incoming defects, we have both an in-house advanced cleaning capability and an advanced in situ defect smoothing capability. This smoothing system utilizes combinations of ion beam deposition and etch to planarize any remaining incoming substrate defects. For defects added in the multilayer deposition process, we have an aggressive program to find, identify, and eliminate the defects. This paper summarizes progress in smoothing substrate defects and eliminating ever smaller multilayer-added defects. We will show the capability of our smoothing process to planarize our existing population of bump and pit type defects and discuss how quickly this can be done. We will also discuss how many defects are added by the planarization process. In addition, we will show 54 nm sensitivity defect data for multilayer-coated EUV mask blanks.


Photomask and Next-Generation Lithography Mask Technology XII | 2005

Recent progress in the fabrication of low defect density mask blanks

Andy Ma; Patrick Kearney; Dave Krick; Rajul Randive; Ira Reiss; Paul Mirkarimi; Eberhard Spiller

Extreme ultraviolet lithography (EUVL) is the leading next generation lithography (NGL) technology to succeed optical lithography at the 32 nm nodes and beyond. The technology uses a multilayer-based reflective optical system and the development of suitable, defect-free mask blanks is one of the two greatest challenges facing the commercialization of EUVL. In this paper we describe recent progress towards the development of a commercial tool and process for the production of EUVL mask blanks. Using the resources at the recently formed Mask Blank Development Center at SEMATECH-North we have been able to decrease the mean multilayer-coating-added defect density on 6” square quartz substrates by almost an order of magnitude, from ~0.5 defects/cm2 to ~0.055 defects/cm2 for particles ≥ 80 nm in size (PSL equivalent). We have also obtained a “champion” mask blank with an added defect density of only ~0.005 defects/cm2. This advance was due primarily to a compositional analysis of the particles using FIB/EDX followed by tool and procedural upgrades based on best engineering practices and judgment. Another important specification for masks blanks is the coating uniformity and we have simultaneously achieved a centroid wavelength uniformity of 0.4% and a coating-added defect density of 0.06 def/cm2.


Archive | 2004

Charged particle source and operation thereof

Viktor Kanarov; Alan V. Hayes; Rustam Yevtukhov; Ira Reiss; Roger P. Fremgen; Adrian Celaru; Kurt E. Williams; Carlos Fernando de Mello Borges; B. Druz; Renga Rajan; Hari Hegde


Journal of Micro-nanolithography Mems and Moems | 2006

Progress in the fabrication of low-defect density mask blanks for extreme ultraviolet lithography

Rajul Randive; Andy Ma; Patrick Kearney; David Krick; Ira Reiss; Paul B. Mirkarimi


Archive | 2006

Physical vapor deposition apparatus for depositing thin multilayer films and methods of depositing such films

Ira Reiss


Emerging Lithographic Technologies IX | 2005

Progress towards the development of a commercial tool and process for EUVL mask blanks

Andy Ma; Patrick Kearney; Dave Krick; Rajul Randive; Ira Reiss; Paul B. Mirkarimi; Eberhard Spiller


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Enabling defect-free masks for extreme ultraviolet lithography

Chan-Uk Jeon; Patrick Kearney; Andy Ma; Bernd Beier; Toshyuki Uno; Rajul Randive; Ira Reiss

Collaboration


Dive into the Ira Reiss's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Paul B. Mirkarimi

Lawrence Livermore National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge