Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Chan-Uk Jeon is active.

Publication


Featured researches published by Chan-Uk Jeon.


22nd Annual BACUS Symposium on Photomask Technology | 2002

Manufacturability evaluation of model-based OPC masks

Sung-Hoon Jang; Sonny Y. Zinn; Won-Tai Ki; Ji-Hyun Choi; Chan-Uk Jeon; Seong-Woon Choi; Hee-Sun Yoon; Jung-Min Sohn; Yong-Ho Oh; Jai-Cheol Lee; Sungwoo Lim

A systematic method for the model-based optical proximity correction in presented. This is called optical proximity effect reducing algorithm (OPERA) and has been implemented to TOPO, an in-house program for optical lithography simulations. Comparing simulational results as well as experimental results, we found that OPERA is not only suitable for shape restoration but also for resolution enhancement. However, the resulting optimized patterns have a high degree of complexity and this brought up a number of issues for mask manufacturing. First, data volume and exposure time were dramatically increased for conventional e-beam file formats. This was solved by using the MODE6 format that preserves data hierarchy. Second, due to excessive shot divisions, a variable-shaped beam machine could not finish the exposure process. A raster-scan beam machine successfully finished the exposure. Finally, a die-to-die inspection was performed but many false defects that do not affect wafer printing were defected. This will be solved by a new type of tool that inspects a mask by evaluating its aerial image.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Mask Pattern Recovery by Level Set Method based Inverse Inspection Technology (IIT) and its Application on Defect Auto Disposition

Jin-Hyung Park; Paul Chung; Chan-Uk Jeon; Han Ku Cho; Linyong Pang; Danping Peng; Vikram Tolani; Tom Cecil; David Kim; Ki-Ho Baik

At the most advanced technology nodes, such as 32nm and 22nm, aggressive OPC and Sub-Resolution Assist Features (SRAFs) are required. However, their use results in significantly increased mask complexity, making mask defect disposition more challenging than ever. This paper describes how mask patterns can first be recovered from the inspection images by applying patented algorithms using Level Set Methods. The mask pattern recovery step is then followed by aerial/wafer image simulation, the results of which can be plugged into an automated mask defect disposition system based on aerial/wafer image. The disposition criteria are primarily based on wafer-plane CD variance. The system also connects to a post-OPC lithography verification tool that can provide gauges and CD specs, thereby enabling them to be used in mask defect disposition as well. Results on both programmed defects and production defects collected at Samsung mask shop are presented to show the accuracy and consistency of using the Level Set Methods and aerial/wafer image based automated mask disposition.


Photomask and Next-Generation Lithography Mask Technology XXI | 2014

Ruthenium (Ru) peeling and predicting robustness of the capping layer using finite element method (FEM) modeling

Il-Yong Jang; Arun John; Frank Goodwin; S. Lee; Byung-Gook Kim; Seong-Sue Kim; Chan-Uk Jeon; Jae Hyung Kim; Yong Hoon Jang

Ruthenium (Ru) film used as capping layer in extreme ultraviolet (EUV) mask peeled off after annealing and in-situ UV (IUV) cleaning. We investigated Ru peeling and found out that the mechanical stress caused by the formation of Si oxide due to the penetration of oxygen atoms from ambient or cleaning media to top-Si of ML is the root cause for the problem. To support our experimental results, we developed a numerical model of finite element method (FEM) using commercial software (ABAQUS™) to calculate the stress and displacement forced on the capping layer. By using this model, we could observe that the displacement agrees well with the actual results measured from the transmission electron microscopy (TEM) image. Using the ion beam deposition (IBD) tool at SEMATECH, we developed four new types of alternative capping materials (RuA, RuB, B4C, B4C-buffered Ru). The durability of each new alternative capping layer observed by experiment was better than that of conventional Ru. The stress and displacement calculated from each new alternative capping layer, using modeling, also agreed well with the experimental results. A new EUV mask structure is proposed, inserting a layer of B4C (B4C-buffered Ru) at the interface between the capping layer (Ru) and the top-Si layer. The modeling results showed that the maximum displacement and bending stress observed from the B4C-buffered Ru are significantly lower than that of single capping layer cases. The durability investigated from the experiment also showed that the B4C-buffered structure is at least 3X stronger than that of conventional Ru.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2014

Minimization of line edge roughness and critical dimension error in electron-beam lithography

Xinyu Zhao; Soo-Young Lee; Jin Choi; Sang-Hee Lee; In-kyun Shin; Chan-Uk Jeon

As the minimum feature size continues to decrease, the line edge roughness (LER) has become a critical issue to be addressed. The LER is caused by a number of stochastically fluctuating effects involved in the fabrication process using electron-beam lithography. Since the LER does not scale with the feature size, it can significantly limit the minimum feature size and the maximum circuit density that can be achieved in a pattern of nanoscale features. Many of the efforts to decrease the LER in the past took an empirical or trial-and-error approach. In this study, a computational approach is taken in developing effective methods to minimize the LER, taking the critical dimension (CD) error due to the proximity effect also into account. Since the LER and the CD error vary with the resist-depth dimension, a 3D model is employed instead of a 2D model used in most of the previous work. The simulation results show that the proposed methods have potential to provide a practical and effective way to minimize the LER.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Damage/organic free ozonated DI water cleaning on EUVL Ru capping layer

Seung-Ho Lee; Bong-Kyun Kang; Hyuk-Min Kim; Min-Soo Kim; Han-Ku Cho; Chan-Uk Jeon; Hyung-ho Ko; Han-Shin Lee; Jinho Ahn; Jin-Goo Park

The adaption of EUVL requires the development of new cleaning method for the removal of new contaminant without surface damage. One of the harsh contaminants is the carbon contamination generated during EUV exposure. This highly dense organic contaminant is hardly removed by conventional SPM solution on Ru capped Mo/Si multilayer. The hopeful candidate for this removal is ozonated water (DIO3), which is not only well-known strong oxidizer but also environmentally friendly solution. However, this solution might cause some damage to the Ru capping layer mostly depending on its concentration. For these reasons, DIO3 cleaning solutions, which are generated with various additive gases, were characterized to understand the correlation between DIO3 concentration and damages on 2.5 nm thick ruthenium (Ru) surface. An optimized DIO3 generation method and cleaning condition were developed with reduced surface damage. These phenomena were explained by electrochemical reaction.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2014

Determination and analysis of minimum dose for achieving vertical sidewall in electron-beam lithography

Xinyu Zhao; Qing Dai; Soo-Young Lee; Jin Choi; Sang-Hee Lee; In-kyun Shin; Chan-Uk Jeon

Prior to carrying out the proximity effect correction by optimizing the spatial distribution of dose in electron beam lithography, one first needs to determine the minimum total dose required. A conventional method typically used to determine the minimum total dose is the trial-and-error approach, which can be unnecessarily costly and wasteful. In this paper, two new dose determination methods are described, which utilize the concept of a “critical path” without any proximity effect correction effort. Also, the dependency of the minimum total dose and dose distribution on the feature and lithographic parameters is investigated. The simulation results show that the proposed dose-determination methods can adaptively and efficiently determine the minimum total dose. Thus, they have the potential to provide a practical and effective alternative to the conventional trial-and-error approach.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2013

Derivation of line edge roughness based on analytic model of stochastic exposure distribution

Rui Guo; Soo-Young Lee; Jin Choi; Sang-Hee Lee; In-kyun Shin; Chan-Uk Jeon; Byung-Gook Kim; Han-Ku Cho

As the feature size is reduced well below 100 nm, the line edge roughness (LER) becomes a critical issue since it does not scale with feature size. For minimizing the LER, it is essential to be able to accurately estimate it. A possible method for LER estimation is to rely on simulation. However, it requires time-consuming procedures, i.e., the Monte Carlo simulation for computing the exposure distribution within resist, and a resist-development simulation. In this study, an analytic method for estimating the LER, defined as the standard deviation of edge location, is developed to overcome the drawback of simulation method. This new approach first relates the stochastic exposure to the statistics of point spread functions, i.e., mean and variance, and eventually derives the variation of edge location considering critical paths in the resist development process. The analytic method achieves good accuracy compared to a simulation method and has a good potential to be employed in practice.


Journal of Micro-nanolithography Mems and Moems | 2013

Inverse e-beam lithography on photomask for computational lithography

Jin Choi; Ji Soong Park; In Kyun Shin; Chan-Uk Jeon

Abstract. Computational lithography, e.g., inverse lithography technique (ILT) and source mask optimization, is considered necessary for the “extremely low k1” lithography process of sub-20 nm device node. The ideal design of a curvilinear mask for computational lithography requires many changes during photomask fabrication. These range from preparation of the mask data to measurement and inspection. The manufacturability of a photomask for computational lithography is linked to predictable and manageable quality of patterning. Here, we have proposed the use of “inverse e-beam lithography” on photomask for computational lithography, which overcomes the patterning accuracy limits of conventional e-beam lithography. Furthermore, the preferred target design for ILT, a new verification method, and the accuracy required for the mask model are also discussed; with consideration of acceptable writing time (<24  h) and computing power.


27th European Mask and Lithography Conference | 2011

Current status of EUV mask inspection using 193nm optical inspection system in 30nm node and beyond

Sang Hoon Han; Jihoon Na; Won-Il Cho; Dong-Hoon Chung; Chan-Uk Jeon; Han-Ku Cho; Dana Bernstein; Eun Young Park; Anoop Sreenath; Shmoolik Mangan

Extreme Ultra Violet Lithography (EUVL) is one of the most advanced patterning technologies to overcome the critical resolution limits of current ArF lithography for 30nm generation node and beyond. Since EUVL mask manufacturing process has not been fully stabilized yet, it is still suffering from many defect issues such as blank defects, defects inside multilayer causing phase defects, CD defects, LERs (Line Edge Roughness), and so on. One of the most important roles in mask manufacturing process belongs to mask inspection tools, which monitor and visualize mask features, defects and process quality for the EUVL process development. Moreover, as the portion of EUV mask production has been increased due to the EUV Pre-Production Tool (PPT) development, mask inspection technologies for EUVL become highly urgent and critical to guarantee mask quality. This paper presents a promising inspection technique for increasing the contrast of pattern imaging and defects capture rate using configurable illumination conditions in 193nm wavelength inspection tool.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Effect of EUV exposure upon surface residual chemicals on EUV mask surface

Han-Shin Lee; Jaehyuck Choi; Dong-un Lee; Hyung-ho Ko; Seong Su Kim; Chan-Uk Jeon; Han-Ku Cho

Photo-induced defect for optic mask mainly depends on the surface residual ions coming from cleaning process, pellicle outgassing, or storage environments. Similar defect for EUV mask triggered by accumulated photon energy during photolithography process has drawn interest recently but this defect is somewhat different from normal photo-induced defect for optic mask. The photo-induced defect for EUV mask is known to be created by the chemical deposition of Carbon atoms originating from cracking of hydrocarbons by EUV light and secondary electrons on capping layer. It is very likely that Carbon contamination would be dominant under normal EUV exposure condition. On the other hand, it is expected that another kind of photo-induced defects would rise to surface under controlled environment where Carbon contamination growth is severely suppressed. We may have to understand the behavior of surface residual ions under EUV light in order to cope with another probable EUV photo-induced defect. In this paper, we will investigate whether surface ions remaining after cleaning process like sulfate or ammonium ions would create printable defects or decompose into evaporable species under EUV light. In case they create certain defects on mask surface, their effect on EUV reflectivity and absorber pattern CD variation will be also examined. Finally, improved cleaning process to impede photo-induced defect creation on EUV mask will be introduced.

Collaboration


Dive into the Chan-Uk Jeon's collaboration.

Researchain Logo
Decentralizing Knowledge