Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Isamu Takagi is active.

Publication


Featured researches published by Isamu Takagi.


Proceedings of SPIE | 2013

Study of EUV outgassing spatial distribution toward witness plate in the EUV outgas tester

Yukiko Kikuchi; Eishi Shiobara; Hiroyuki Tanaka; Isamu Takagi; Kazuhiro Katayama; Norihiko Sugie; Toshiya Takahashi; Soichi Inoue; Takeo Watanabe; Tetsuo Harada; Hiroo Kinoshita

In the experiments to evaluate outgassing of EUV resists, it was found that the amount of PAG anion and/or Fluorine observed on the witness sample (WS) was different for the variety of WS location and direction in the testing chamber. The XPS measurements showed there were about 10 times of Fluorine atoms on the WS which were put to face the exposing position of the resist on the wafer compared to that were put to turn the back on the wafer. As the Fluorine is the component of photo-acid-generator (PAG) of resists used in the experiments, it is thought that the PAG anion and/or its decomposed species have high sticking coefficient to the WS. The simulation was performed to understand the directivity of outgassing from the exposing point, using the Direct Simulation Monte Carlo (DSMC) method. The results indicated that the sticking coefficient of PAG anion is about 0.8, suggesting that the position and direction of WS in the chamber relative to the wafer strongly affects the amounts of deposition of the species having big sticking coefficients.


Japanese Journal of Applied Physics | 2013

Development of Tool for Contamination Layer Thickness Measurement Using High Power Extreme Ultraviolet Light and in Situ Ellipsometer

Takeo Watanabe; Yukiko Kikuchi; Toshiya Takahashi; Kazuhiro Katayama; Isamu Takagi; Norihiko Sugie; Hiroyuki Tanaka; Eishi Shiobara; Soichi Inoue; Tetsuo Harada; Hiroo Kinoshita

Extreme ultraviolet (EUV) lithographic exposure is performed in a vacuum environment. The Mo/Si multilayers used as imaging optics and a mask can be contaminated by carbon, which is originally an outgassing species of the hydrocarbons that decompose from the resist materials during EUV exposure. This carbon contamination reduces the total reflectivity of the imaging optics and mask, and affects the lithographic performance, including the throughput and resist pattern replication resolution. This contamination should be reduced to maintain the lithographic performance; thus, the relationship between the carbon contamination and the outgassing species should be clarified. We therefore developed a novel tool for in situ contamination layer thickness evaluation. The distinctive features of this tool are as follows: 1) exposure using a total power of in-band EUV light (267 mW/cm2) that is as high as that required for high-volume manufacturing (>100 mW/cm2), 2) the visible light beam of the in situ spectroscopic ellipsometry is focused on the Mo/Si multilayer witness sample to obtain the detection limit for measured thickness and that the limit was found to be 0.03 nm, and 3) the witness and 8-in. resist wafer sample stages have extremely low outgassing characteristics. Using this tool, a starting adhesion point was observed and the carbon contamination layer thickness was evaluated during the resist exposure. For 90 min resist exposure, no contamination adhered onto the Mo/Si witness sample until the exposure time reached 25 min, and after which, the contamination started to adhere to the witness sample.


Proceedings of SPIE | 2012

Resist outgassing characterization for qualification in high power EUV lithography

Toshiya Takahashi; Norihiko Sugie; Kazuhiro Katayama; Isamu Takagi; Yukiko Kikuchi; Eishi Shiobara; Hiroyuki Tanaka; Soichi Inoue; Takeo Watanabe; Tetsuo Harada; Hiroo Kinoshita

For high volume manufacturing (HVM) utilizing extreme ultraviolet (EUV) lithography, practical resist outgassing qualification system is required. Witness sample (WS) testing systems using electron beam (EB) or low power EUV light have been proposed as candidates, however some issues remain on how these alternative light sources, in comparison to high power EUV, will affect resist chemical reactions and ultimately resist outgassing. In this paper, we have investigated resist induced optics contamination by utilizing two types of WS test systems of high power EUV light and EB sources. A correlation between these light sources is discussed, especially focusing on the resulting chemical phenomena depending on resist material properties.


Proceedings of SPIE | 2013

Resist outgassing characterization based on the resist compositions and process

Norihiko Sugie; Toshiya Takahashi; Kazuhiro Katayama; Isamu Takagi; Yukiko Kikuchi; Hiroyuki Tanaka; Eishi Shiobara; Soichi Inoue

For extreme ultraviolet (EUV) lithography, some critical issues concerning possible tool optics contamination due to resist outgassing remain to be resolved [1-4]. Before resists can be used on the ASML NXE:3100 and ASML NXE:3300 EUV scanners, they need to be tested in dedicated equipment and qualify according to the ASML NXE outgassing guidelines. In view of these guidelines, EIDEC has been working on an infrastructure set-up to enable resist outgassing testing. However, further investigations are still necessary to realize the application of the proposed outgassing evaluation method. Moreover, given the significant number of resists to be tested, fundamental studies to established concepts that reduce the number of resist outgassing tests are necessary. The application of these concepts focused on resist outgassing characterization based on resist composition and processes is presented. Moreover, a suggestion on how this fundamental information can be applied to reduce the total number of resist outgassing tests required for various EUV resists is discussed.


Proceedings of SPIE | 2014

Contribution of EUV resist components to the non-cleanable contaminations

Eishi Shiobara; Toshiya Takahashi; Norihiko Sugie; Yukiko Kikuchi; Isamu Takagi; Kazuhiro Katayama; Hiroyuki Tanaka; Soichi Inoue; Takeo Watanabe; Tetsuro Harada; Hiroo Kinoshita

The suppression of outgassing from extreme ultraviolet (EUV) resist needs to be addressed for realizing EUV lithography (EUVL) because outgassing is likely the main contributor to the contamination of mirror optics in EUV scanners, which results in reflectivity loss. Resist outgassing causes two types of contamination: cleanable contamination, involving hydrocarbon contaminants, and noncleanable contamination, involving noncarbon components. The relation of cleanable contamination between EUV- and electron beam (EB)-based evaluations is linear. However, the relation of noncleanable contamination is not clear. In this study, we investigated the contribution of EUV resist components to noncleanable contamination using different photoacid generator components. The cleanability of noncleanable elements (sulfur, iodine, chlorine, and bromine) in contamination films was measured and compared for the EUV- and EB-based outgas testers. The result suggested that the chlorine and bromine contaminants were completely removed after cleaning. On the other hand, sulfur and iodine remained even after cleaning. This suggested that the careful use of iodine in resist materials is necessary due to its high photoabsorption and low cleanability. In addition, the cleaning rate and noncleanability of contaminants in the EUV-based test were larger than in the EB-based test. This suggests that the contamination film in the EUV-based test is more porous than that in the EB-based test.


Proceedings of SPIE | 2015

Collaborative work on reducing the intersite gaps in outgassing qualification

Soichi Inoue; Eishi Shiobara; Takeshi Sasami; Isamu Takagi; Yukiko Kikuchi; Toru Fujimori; Shinya Minegishi; Robert F. Berg; Thomas B. Lucatorto; Shannon B. Hill; Charles S. Tarrio; Ivan Pollentier; Yen-Chih Lin; Yu-Jen Fan; Dominic Ashworth

This paper reports on an all-out effort to reduce the intersite gap of the resist outgassing contamination growth in the results obtained under the round-robin scheme. All test sites collaborated to determine the causes of such gaps. First, it was determined that wafer temperature during exposure could impact the amount of contamination growth. We discovered a huge intersite gap of wafer temperatures among the sites by using a wafer-shaped remote thermometer with wireless transmitting capability. Second, whether the contamination-limited regime was attained during testing could have been another primary root cause for such a difference. We found that for one of the model resists whose protecting unit had lower activation energy and molecular weight the contamination-limited regime was insufficient at one test site. Third, the ratio of the exposed area to pumping speed is necessary to equalize contamination growth. We validated the effect of matching the ratio of exposure area to pumping speed on reducing the intersite gap. This study and the protocols put in place should reduce the intersite gap dramatically.


Proceedings of SPIE | 2015

Analysis of EUV resist outgassing depended on the dosage

Eishi Shiobara; Isamu Takagi; Yukiko Kikuchi; Takeshi Sasami; Shinya Minegishi; Toru Fujimori; Takeo Watanabe; Tetsuo Harada; Hiroo Kinoshita; Soichi Inoue

The suppression of extreme ultraviolet (EUV) photoresist-related outgassing is one of the challenges in high-volume manufacturing with EUV lithography (EUVL), because it contributes to the contamination of the EUV scanner mirror optics, resulting in reflectivity loss. Witness sample pragmatic outgas qualification has been developed into the general method for clarifying commercially available, chemically amplified resists. Preliminary results have suggested a linear correlation between contamination thickness in the electron-beam-based and the EUV-based evaluation systems. In fact, a positive relationship was observed between contamination thickness and exposure dose. However, recent experiments indicate that in some resists, this relationship is not linear. In the present study, a resist outgas model is proposed and tested to investigate the contamination thickness’ dependency on exposure dose. The model successfully explains the experimental outgas phenomenon. It is estimated that increasing exposure dose, in resists with low activation energies (Ea) in deprotection reactions, results in extreme increase in contamination thickness. Furthermore, the low-Ea resists have high contamination risk when exposure is extensive.


Proceedings of SPIE | 2014

Correlation study on resist outgassing between EUV and e-beam irradiation

Yukiko Kikuchi; Kazuhiro Katayama; Isamu Takagi; Norihiko Sugie; Toshiya Takahashi; Eishi Shiobara; Hiroyuki Tanaka; Soichi Inoue; Takeo Watanabe; Tetsuo Harada; Hiroo Kinoshita

The carbon contamination growth (CG) on the witness samples by resist outgassing during exposure were evaluated for the model EUV resist samples having different protecting groups for chemical amplification. Four kinds of different protecting groups were chosen to compare the effects of difference in activation energy for de-protection, the molecular size and polarity of de-protected unit on CG. The residual gas analysis (RGA) measurements were also performed for all samples. Those results were compared between EUV irradiation and e-beam irradiation. On the contrary to the original expectation, it was found that the dependence of the activation energy on CG was small. From the results of RGA, it was confirmed that the size of the protecting group does not also simply correlate with the outgassing amount or CG. In the sample with relatively bigger protecting group we found larger outgassing amount than that with smaller protecting group. The smallest outgassing amount and CG were given by the sample which has the polar de-protecting unit. It is indicating that if there is the interaction between the outgassing molecules and the resist film components, the escaping of the molecules from the resist film out to the vacuum is restricted, resulting in the small outgassing and small CG. All of those features were same in EUV and e-beam irradiation.


Proceedings of SPIE | 2015

Feasibility study on the impact of high-power EUV irradiation on key lithographic elements

Soichi Inoue; Shinji Mikami; Eishi Shiobara; Isamu Takagi; Hiroyuki Tanaka

EIDEC has built a high-power EUV irradiation tool equipped with a laser-produced plasma source to investigate the effect of EUV power and pulsed irradiation on the resist outgassing and durability of the mask and pellicle elements. In this paper, we discuss the feasibility study on the impact of high-power EUV irradiation on key elements through the application of a high-power EUV irradiation tool. The equipment comprises a laser-produced plasma source similar to those used in presently available EUV scanners and relay mirrors which facilitates the EUV irradiation on the sample plane. The equipped laser-produced plasma source has lower power at the intermediate focus than those expected in future high-volume manufacturing EUV scanners. However, with fewer relay mirrors compared to these EUV scanners, sufficient EUV power density is emulated on the sample plane i.e. EUV power equivalent to what is expected in future high volume manufacturing EUV scanners can be obtained. The basic design configuration and performance of the laser-produced plasma source and the entire system are discussed. Tests for investigating the effect of EUV power density on the outgassing and durability of mask blanks have commenced, and the preliminary results are presented in this paper.


Journal of Photopolymer Science and Technology | 2012

Comparison of Resist Outgassing Characterization between High Power EUV and EB

Norihiko Sugie; Toshiya Takahashi; Kazuhiro Katayama; Isamu Takagi; Yukiko Kikuchi; Eishi Shiobara; Hiroyuki Tanaka; Soichi Inoue; Takeo Watanabe; Tetsuo Harada; Hiroo Kinoshita

Collaboration


Dive into the Isamu Takagi's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge