Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ivan Lalovic is active.

Publication


Featured researches published by Ivan Lalovic.


26th Annual International Symposium on Microlithography | 2001

Behavior of lens aberrations as a function of wavelength on KrF and ArF lithography scanners

Mark Terry; Ivan Lalovic; Gregory M. Wells; Adlai H. Smith

In this paper we study the effects of changing the operating laser wavelength on the projection lens aberrations of KrF and ArF scanners as measured by the Litel In-Situ Interferometer. Specifically, we quantify the change in 28 individual Zernike coefficients as a function of wavelength as well as the total RMS. Effects on Zernikes exhibiting a field dependent behavior are described in detail. We convert the Z4 terms to Z positions to estimate the displacement of the image plane, and we identify a new chromatic distortion term. Finally, we input the measured wavefronts into a lithographic simulator to estimate the full effects on image placement error.


Journal of Micro-nanolithography Mems and Moems | 2003

Understanding chromatic aberration impacts on lithographic imaging

Kafai Lai; Ivan Lalovic; Bob Fair; Armen Kroyan; Christopher J. Progler; Nigel R. Farrar; Dennis B. Ames; Khurshid Ahmed

Recent development of high-precision aberration measurement techniques has enabled in situ characterization of the aberration response to wavelength offset. These measurements show that majority of the reconstructed Zernike terms exhibit some degree of sensitivity to wavelength. Although this dependence diminishes with the increasing order of Zernike polynomial, we consider the cumulative contribution of five Zernike terms, which have the strongest wavelength dependence ( Z2, Z4, Z6, Z8, and Z11 ). The imaging impacts of KrF laser wavelength and spectral bandwidth are investigated using aerial image simulation; the behavior of the process window, mask error enhancement factor (MEEF), image placement, proximity effect, and sidelobe intensity is quantified. In this model, the chromatic aberrations are experimentally measured in a 0.68-NA KrF step-and-scan exposure system using the LITEL aberration test (InspecStep interferometer manufactured by LITEL Instruments, Inc., San Diego, California). The illumination spectrum input is characterized by spectroscopic measurement of a 2-KHz KrF laser source. In the lithography model, it is important to incorporate all of the wavelength-sensitive terms due to the additive contribution to the overall lens aberration balance. As shown previously, the longitudinal and lateral chromatic aberrations (image height and magnification) are the most sensitive to shift in center wavelength and have the strongest contribution to the aerial image modulation. Simulation results show several imaging changes for isolated lines and contact holes with changes in illumination spectrum. However, the rates of change are shown to decrease as bandwidth is reduced well into the subpicometer level. In the case of isolated contacts, the depth of focus (DOF) increases with the increase in bandwidth, however, at the expense of reduced exposure latitude. This suggests that engineering the spectral output of the laser can provide some process enhancement, although careful compromise is needed to utilize any DOF enhancement, since other image metrics including MEEF, side-lobe intensity, and image placement are also affected.


26th Annual International Symposium on Microlithography | 2001

Effects of 95% Integral vs. FWHM Bandwidth Specifications on Lithographic Imaging

Armen Kroyan; Ivan Lalovic; Nigel R. Farrar

Bandwidth of a laser spectrum is generally specified in terms of the full-width-at-half-maximum (FWHM) metric. Another bandwidth specification is based on the 95% integral energy (E95%) of the spectrum. While providing a more complete information about the spectral shape, E95% bandwidth is very sensitive to small changes in spectral background intensity. In this work, both bandwidth specifications and their effects on aerial image properties are evaluated using computer simulations. Also, in order to obtain a more comprehensive understanding of illumination spectrum effects on lithographic imaging, aerial image sensitivity to the shift of central wavelength and to the change of spectral background intensity is investigated. Results show that the overall shape of the laser spectrum is critically important, and that the E95% metric is more suitable for bandwidth specification.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Defining a physically accurate laser bandwidth input for optical proximity correction (OPC) and modeling

Ivan Lalovic; Oleg Kritsun; Sarah N. McGowan; Joseph J. Bendik; Mark D. Smith; Nigel R. Farrar

In this study, we discuss modeling finite laser bandwidth for application to optical proximity modeling and correction. We discuss the accuracy of commonly-used approximations to the laser spectrum shape, namely the modified Lorentzian and Gaussian forms compared to using measurement-derived laser fingerprints. In this work, we show that the use of the common analytic functions can induce edge placement errors of several nanometers compared to the measured data and therefore do not offer significant improvement compared to the monochromatic assumption. On the other hand, the highlyaccurate laser spectrum data can be reduced to a manageable number of samples and still result in sub 0.5nm error through pitch and focus compared to measured spectra. We have previously demonstrated that a 23-point approximation to the laser data can be generated from the spectrometry data, which results in less than 0.1nm RMS error even over varied illumination settings. We investigate the further reduction in number of spectral samples down to five points and consider the resulting accuracy and model-robustness tradeoffs. We also extend our analysis as a function of numerical aperture and illumination setting to quantify the model robustness of the physical approximations. Given that adding information about the laser spectrum would primarily impact the model-generation run-times and not the run-times for the OPC implementation, these techniques should be straightforward to integrate with current full-chip OPC flows. Finally, we compare the relative performance of a monochromatic model, a 5-point laser-spectral fingerprint, and two Modified Lorentzian fits in a commercial OPC simulator for a 32nm logic lithography process. The model performance is compared at nominal process settings as well as through dose, focus and mask bias. Our conclusions point to the direction for integration of this approach within the framework of existing EDA tools and flows for OPC model generation and process-variability verification.


Proceedings of SPIE | 2007

Modeling and Performance Metrics for Longitudinal Chromatic Aberrations, Focus- drilling, and Z-noise; Exploring excimer laser pulse-spectra

Mark D. Smith; Joseph J. Bendik; Ivan Lalovic; Nigel R. Farrar; William B. Howard; Chris Sallee

The combined impact of longitudinal chromatic aberrations, focus-drilling, and Z-noise on several lithographic performance metrics is described. After review, we investigate an improved method for simulating the lithographic behavior of longitudinal chromatic aberrations stemming from the finite bandwidth of excimer laser pulse-spectra using PROLITHTM v. 9.3.3. Additionally, we explore two methods for modeling the lithographic improvements related to focus-drilling and new PROLITH functionality for modeling the effects of Z-noise. Our case studies involve reinvestigating the RELAX process and providing a framework for accurate lithographic simulation using machine specific pulse-spectral data, modified Lorentzian, and Gaussian models. After presentation and analysis, we discuss potential applications including methods for improved focus budgets and improved mask design.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Fast and accurate laser bandwidth modeling of optical proximity effects

Ivan Lalovic; Oleg Kritsun; Joeseph Bendik; Mark D. Smith; Chris Sallee; Nigel R. Farrar

In this work, we model the effects of excimer laser bandwidth on optical proximity effects in high-NA ArF dry and immersion lithography. We quantify the errors introduced by using common approximation methods for the laser spectrum, such as the modified Lorentzian and Gaussian forms. Although these approximations are simple to use, and their symmetry properties can lead to reduced simulation run-times, they typically induce significant CD error when compared to the use of measured spectral profiles, which are obtained from high-resolution spectrophotometry. In this paper we establish some accuracy benchmarks and demonstrate the need for inclusion of information about the spectral profile - for the laser type of interest - in order to achieve sub-nanometer image calculation accuracy required for optical proximity correction. We further assess the speed-accuracy tradeoffs in terms of data truncation and sampling, and propose some practical limits for sampling the illumination spectrum. Additionally, in this work, we propose a new physically-based spectrum approximation method, which significantly reduces computation time at a cost of less than 0.25nm residual image-CD error from the fully-sampled image calculation. In addition to aerial image, we compare 45nm-node calibrated resist models and latent image results for 0.92NA dry and 1.2NA immersion processes using measured illumination profiles and lens aberrations. Finally, we consider the laser bandwidth sensitivity of 2D line-end patterns and typical post-OPC designs for a logic gate-process.


Proceedings of SPIE | 2013

Lithography imaging control by enhanced monitoring of light source performance

Paolo Alagna; Omar Zurita; Ivan Lalovic; Nakgeuon Seong; Gregory Rechsteiner; Joshua Thornes; Koen D'havé; Lieve Van Look; Joost Bekaert

Reducing lithography pattern variability has become a critical enabler of ArF immersion scaling and is required to ensure consistent lithography process yield for sub-30nm device technologies. As DUV multi-patterning requirements continue to shrink, it is imperative that all sources of lithography variability are controlled throughout the product life-cycle, from technology development to high volume manufacturing. Recent developments of new ArF light-source metrology and monitoring capabilities have been introduced in order to improve lithography patterning control.[1] These technologies enable performance monitoring of new light-source properties, relating to illumination stability, and enable new reporting and analysis of in-line performance.


21st Annual BACUS Symposium on Photomask Technology | 2002

Contribution of polychromatic illumination to optical proximity effects in the context of deep-UV lithography

Armen Kroyan; Ivan Lalovic; Nigel R. Farrar

In this paper, various optical proximity effects are evaluated as a function of spectral properties of excimer laser illumination. Sensitivity of linewidth biasing and line-end pullback to spectral bandwidth and its variations is investigated using computer simulations based on PROLITH software. Studies are performed for isolated and dense lines ranging in size from 150nm to 130nm using projection lens numerical aperture of 0.7 and KrF illumination. Results show that a non-linear, through-pitch critical dimension sensitivity to laser bandwidth variation introduces additional feature biasing, which can not be compensated with optical proximity correction techniques, and can result in an additional shift of the iso-dense bias. Also, line-end pullback of isolated lines exhibits a non-linear response to bandwidth resulting in up to 7nm of pullback per 0.1pm of bandwidth change.


26th Annual International Symposium on Microlithography | 2001

Investigation of cross-field wavefront aberrations of KrF lithography exposure systems as a function of excimer laser bandwidth

Ivan Lalovic; Armen Kroyan; Nigel R. Farrar; Dennis Taitano; Paolo Zambon; Adlai H. Smith

Quantification of projection lens aberrations in lithographic exposure systems has gained significant importance due to more stringent critical dimension control and image fidelity requirements. As linewidths shrink, the impacts of wavefront aberrations on imaging become more pronounced. Therefore, minimization of the wavefront aberrations across the image field is desired and has led to the development of a number of measurement approaches. The proposed techniques have been evaluated extensively for characterization and specification of lens systems, adjustments, matching, and periodic control and monitoring of lithography systems for volume production. In this study, we discuss the contribution of excimer laser bandwidth towards lens aberrations. We carry out simulations of the effects of image contrast on conventional projection patterning, to evaluate the degree of aberation-induced linewidth changes depending on image contrast level. Also, experiments have been conducted to measure the response of wavefront error as a function of spectral bandwidth for a 0.6NA stepper and scanner. Depending on the field location, a positive relationship is observed between the measured aberration level and bandwidth. We propose a formalism to correlate the aberration measurement with aberration response to wavelength offset, presented elsewhere.[2] The wavefront error, in this work, is measured using a commercially available in-situ interferometric technique, whose response is largely insensitive to focal plane changes and partial coherence.


Proceedings of SPIE | 2011

Focus drilling for increased process latitude in high-NA immersion lithography

Ivan Lalovic; Jason Lee; Nakgeuon Seong; Nigel R. Farrar; Michiel Kupers; Hans Van Der Laan; Tom van der Hoeff; Carsten Kohler

In this paper we discuss a laser focus drilling technique which has recently been developed for advanced immersion lithography scanners to increase the depth of focus and therefore reduce process variability of contact-hole patterns. Focus drilling is enabled by operating the lithography light-source at an increased spectral bandwidth, and has been made possible by new actuators, metrology and control in advanced dual-chamber light-sources. We report wafer experimental and simulation results, which demonstrate a process window enhancement for targeted device patterns. The depth of focus can be increased by 50% or more in certain cases with only a modest reduction in exposure latitude, or contrast, at best focus. Given this tradeoff, the optimum laser focus drilling setting needs to be carefully selected to achieve the target depth of focus gain at an acceptable contrast, mask error factor and optical proximity behavior over the range of critical patterning geometries. In this paper, we also discuss metrology and control requirements for the light-source spectrum in focus drilling mode required for stable imaging and report initial trend monitoring results over several weeks on a production exposure tool. We additionally simulate the effects of higher-order chromatic aberration and show that cross-field and pattern-dependent image placement and critical dimension variation are minimally impacted for a range of focus drilling laser spectra. Finally, we demonstrate the practical process window benefits and tradeoffs required to select the target focus drilling laser bandwidth set-point and increase effectiveness of the sourcemask solution for contact patterning.

Collaboration


Dive into the Ivan Lalovic's collaboration.

Researchain Logo
Decentralizing Knowledge