Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where J. Kuss is active.

Publication


Featured researches published by J. Kuss.


symposium on vlsi technology | 2010

A 0.063 µm 2 FinFET SRAM cell demonstration with conventional lithography using a novel integration scheme with aggressively scaled fin and gate pitch

Veeraraghavan S. Basker; Theodorus E. Standaert; Hirohisa Kawasaki; Chun-Chen Yeh; Kingsuk Maitra; Tenko Yamashita; Johnathan E. Faltermeier; H. Adhikari; Hemanth Jagannathan; Junli Wang; H. Sunamura; Sivananda K. Kanakasabapathy; Stefan Schmitz; J. Cummings; A. Inada; Chung-Hsun Lin; Pranita Kulkarni; Yu Zhu; J. Kuss; T. Yamamoto; Arvind Kumar; J. Wahl; Atsushi Yagishita; Lisa F. Edge; R. H. Kim; E. Mclellan; Steven J. Holmes; R. C. Johnson; T. Levin; J. Demarest

We demonstrate the smallest FinFET SRAM cell size of 0.063 µm2 reported to date using optical lithography. The cell is fabricated with contacted gate pitch (CPP) scaled to 80 nm and fin pitch scaled to 40 nm for the first time using a state-of-the-art 300 mm tool set. A unique patterning scheme featuring double-expose, double-etch (DE2) sidewall image transfer (SIT) process is used for fin formation. This scheme also forms differential fin pitch in the SRAM cells, where epitaxial films are used to merge only the tight pitch devices. The epitaxial films are also used for conformal doping of the devices, which reduces the external resistance significantly. Other features include gate-first metal gate stacks and transistors with 25 nm gate lengths with excellent short channel control.


international electron devices meeting | 2012

High performance extremely thin SOI (ETSOI) hybrid CMOS with Si channel NFET and strained SiGe channel PFET

Kangguo Cheng; Ali Khakifirooz; Nicolas Loubet; S. Luning; T. Nagumo; M. Vinet; Qing Liu; Thomas N. Adam; S. Naczas; Pouya Hashemi; J. Kuss; J. Li; Hong He; Lisa F. Edge; J. Gimbert; Prasanna Khare; Yu Zhu; Zhengmao Zhu; Anita Madan; Nancy Klymko; Steven J. Holmes; T. Levin; A. Hubbard; Richard Johnson; M. Terrizzi; S. Teehan; A. Upham; G. Pfeiffer; T. Wu; A. Inada

For the first time, we report high performance hybrid channel ETSOI CMOS by integrating strained SiGe-channel (cSiGe) PFET with Si-channel NFET at 22nm groundrules. We demonstrate a record high speed ring oscillator (fan-out = 3) with delay of 8.5 ps/stage and 11.2 ps/stage at VDD = 0.9V and VDD = 0.7V, respectively, outperforming state-of-the-art finFET results. A novel “STI-last” integration scheme is developed to improve cSiGe uniformity and enable ultra high performance PFET with narrow widths. Furthermore, cSiGe modulates device Vt, thus providing an additional knob to enable multi-Vt while maintaining undoped channels for all devices.


international electron devices meeting | 2012

UTBB FDSOI transistors with dual STI for a multi-V t strategy at 20nm node and below

L. Grenouillet; M. Vinet; J. Gimbert; B. Giraud; J. P. Noël; Qing Liu; Prasanna Khare; M. A. Jaud; Y. Le Tiec; Romain Wacquez; T. Levin; P. Rivallin; Steven J. Holmes; S. Liu; K. J. Chen; O. Rozeau; P. Scheiblin; E. McLellan; M. Malley; J. Guilford; A. Upham; Richard Johnson; M. Hargrove; Terence B. Hook; Stefan Schmitz; Sanjay Mehta; J. Kuss; Nicolas Loubet; S. Teehan; M. Terrizzi

We introduce an innovative dual-depth shallow trench isolation (dual STI) scheme for Ultra Thin Body and BOX (UTBB) FDSOI architecture. Since in the dual STI configuration wells are isolated from one another by the deepest trenches, this architecture enables a full use of the back bias while staying compatible with both standard bulk design and conventional SOI substrates. We demonstrate in 20nm ground rules that we are able to tune Vt by more than 400mV, that transistor performance can be boosted by up to 30% and that Ioff can be controlled over 3 decades by allowing more than VDD/2 to be applied on the back gate.


symposium on vlsi technology | 2010

Ultra-thin-body and BOX (UTBB) fully depleted (FD) device integration for 22nm node and beyond

Qing Liu; Atsushi Yagishita; Nicolas Loubet; Ali Khakifirooz; Pranita Kulkarni; Toyoji Yamamoto; Kangguo Cheng; M. Fujiwara; J. Cai; D. Dorman; Sanjay Mehta; Prasanna Khare; K. Yako; Yu Zhu; S. Mignot; Sivananda K. Kanakasabapathy; S. Monfray; F. Boeuf; Charles W. Koburger; H. Sunamura; Shom Ponoth; Balasubramanian S. Haran; A. Upham; Richard Johnson; Lisa F. Edge; J. Kuss; T. Levin; N. Berliner; Effendi Leobandung; T. Skotnicki

We present UTBB devices with a gate length (L<inf>G</inf>) of 25nm and competitive drive currents. The process flow features conventional gate-first high-k/metal and raised source/drains (RSD). Back bias (V<inf>bb</inf>) enables V<inf>t</inf> modulation of more than 125mV with a V<inf>bb</inf> of 0.9V and BOX thickness of 12nm. This demonstrates the importance and viability of the UTBB structure for multi-V<inf>t</inf> and power management applications. We explore the impact of GP, BOX thickness and V<inf>bb</inf> on local V<inf>t</inf> variability for the first time. Excellent A<inf>Vt</inf> of 1.27 mV·µm is achieved. We also present simulations results that suggest UTBB has improved scalability, reduced gate leakage (I<inf>g</inf>) and lower external resistance (R<inf>ext</inf>), thanks to a thicker inversion gate dielectric (T<inf>inv</inf>) and body (T<inf>si</inf>) thickness.


IEEE Electron Device Letters | 2012

Scalability of Extremely Thin SOI (ETSOI) MOSFETs to Sub-20-nm Gate Length

Ali Khakifirooz; Kangguo Cheng; Thomas N. Adam; Nicolas Loubet; Hong He; J. Kuss; Juntao Li; Pranita Kulkarni; Shom Ponoth; Raghavasimhan Sreenivasan; Qing Liu; Bruce B. Doris; Ghavam G. Shahidi

We report high-performance extremely thin SOI MOSFETs fabricated with a channel thickness down to 3.5 nm, sub-20-nm gate length, and contacted gate pitch of 100 nm. At an effective channel length of 18 nm, a drain-induced barrier lowering of 100 mV is achieved by either thinning the channel to 3.5 nm or by applying a reverse back-gate bias to 6-nm channel MOSFETs. Moreover, minimal increase in series resistance is seen when the channel is scaled to 3.5 nm, resulting in no performance degradation with SOI thickness scaling.


international soi conference | 2010

Extremely thin SOI (ETSOI) technology: Past, present, and future

Kangguo Cheng; Ali Khakifirooz; Pranita Kulkarni; Shom Ponoth; J. Kuss; Lisa F. Edge; A. Kimball; Sivananda K. Kanakasabapathy; Stefan Schmitz; Thomas N. Adam; Hong He; Sanjay Mehta; A. Upham; Soon-Cheon Seo; J. L. Herman; Richard Johnson; Yu Zhu; P. Jamison; Balasubramanian S. Haran; Zhengmao Zhu; S. Fan; Huiming Bu; Devendra K. Sadana; P. Kozlowski; J. O'Neill; Bruce B. Doris; Ghavam G. Shahidi

As the mainstream bulk devices face formidable challenges to scale beyond 20nm node, there is an increasingly renewed interest in fully depleted devices for continued CMOS scaling. In this paper, we provide an overview of extremely thin SOI (ETSOI), a viable fully depleted device architecture for future technology. Barriers that prevented ETSOI becoming a mainstream technology in the past are specified and solutions to overcome those barriers are provided.


international electron devices meeting | 2013

High performance UTBB FDSOI devices featuring 20nm gate length for 14nm node and beyond

Qing Liu; M. Vinet; J. Gimbert; Nicolas Loubet; Romain Wacquez; L. Grenouillet; Y. Le Tiec; Ali Khakifirooz; T. Nagumo; Kangguo Cheng; H. Kothari; D. Chanemougame; F. Chafik; S. Guillaumet; J. Kuss; F. Allibert; Gen Tsutsui; J. Li; Pierre Morin; Sanjay Mehta; Richard Johnson; Lisa F. Edge; Shom Ponoth; T. Levin; Sivananda K. Kanakasabapathy; Balasubramanian S. Haran; Huiming Bu; J.-L Bataillon; O. Weber; O. Faynot

We report, for the first time, high performance Ultra-thin Body and Box (UTBB) FDSOI devices with a gate length (L<sub>G</sub>) of 20nm and BOX thickness (T<sub>BOX</sub>) of 25nm, featuring dual channel FETs (Si channel NFET and compressively strained SiGe channel PFET). Competitive effective current (I<sub>eff</sub>) reaches 630μA/μm and 670μA/μm for NFET and PFET, respectively, at off current (I<sub>off</sub>) of 100nA/μm and V<sub>dd</sub> of 0.9V. Excellent electrostatics is obtained, demonstrating the scalability of these devices to14nm and beyond. Very low A<sub>Vt</sub> (1.3mV·μm) of channel SiGe (cSiGe) PFET devices is reported for the first time. BTI was improved >20% vs a comparable bulk device and evidence of continued scalability beyond 14nm is provided.


symposium on vlsi technology | 2012

Strain engineered extremely thin SOI (ETSOI) for high-performance CMOS

Ali Khakifirooz; Kangguo Cheng; T. Nagumo; Nicolas Loubet; Thomas N. Adam; J. Kuss; Davood Shahrjerdi; Raghavasimhan Sreenivasan; Shom Ponoth; Hong He; Pranita Kulkarni; Qing Liu; Pouya Hashemi; Prasanna Khare; S. Luning; Sanjay Mehta; J. Gimbert; Yu Zhu; Zhengmao Zhu; Jing Li; Anita Madan; T. Levin; F. Monsieur; T. Yamamoto; S. Naczas; Stefan Schmitz; Steven J. Holmes; C. Aulnette; N. Daval; W. Schwarzenbach

High-performance strain-engineered ETSOI devices are reported. Three methods to boost the performance, namely contact strain, strained SOI (SSDOI) for NFET, and SiGe-on-insulator (SGOI) for PFET are examined. Significant performance boost is demonstrated with competitive drive currents of 1.65mA/μm and 1.25mA/μm, and I<sub>eff</sub> of 0.95mA/μm and 0.70mA/μm at I<sub>off</sub> =100nA/μm and V<sub>DD</sub> of 1V, for NFET and PFET, respectively.


symposium on vlsi technology | 2010

Challenges and opportunities of extremely thin SOI (ETSOI) CMOS technology for future low power and general purpose system-on-chip applications

Ali Khakifirooz; Kangguo Cheng; Pranita Kulkarni; Jin Cai; Shom Ponoth; J. Kuss; Balasubramanian S. Haran; A. Kimball; Lisa F. Edge; Thomas N. Adam; Hong He; Nicolas Loubet; Sanjay Mehta; Sivananda K. Kanakasabapathy; Stefan Schmitz; Steven J. Holmes; Basanth Jagannathan; Amlan Majumdar; Daewon Yang; A. Upham; Soon-Cheon Seo; J. L. Herman; Richard Johnson; Yu Zhu; P. Jamison; Zhengmao Zhu; L. H. Vanamurth; Johnathan E. Faltermeier; S. Fan; D. Horak

Extremely thin SOI (ETSOI) MOSFET is a viable option for future CMOS scaling owing to superior short-channel control and immunity to random dopant fluctuation. However, challenges of ETSOI integration have so far hindered its adoption for mainstream CMOS. This is especially true for low-power applications, where SOI wafer cost is deemed to significantly add to the total cost. We have recently reported a novel integration scheme to overcome some of the major ETSOI manufacturing issues such as difficulty in doping thin silicon layer, process induced silicon loss, and the dilemma of reduction of external resistance and the increase of parasitic capacitance [1, 2]. The proposed integration flow significantly simplifies device processing and leads to considerable reduction in the number of critical masks [2].


international electron devices meeting | 2014

FDSOI CMOS devices featuring dual strained channel and thin BOX extendable to the 10nm node

Qing Liu; B. DeSalvo; Pierre Morin; Nicolas Loubet; S. Pilorget; F. Chafik; S. Maitrejean; E. Augendre; D. Chanemougame; S. Guillaumet; H. Kothari; F. Allibert; B. Lherron; B. Liu; Y. Escarabajal; Kangguo Cheng; J. Kuss; Miaomiao Wang; R. Jung; S. Teehan; T. Levin; Muthumanickam Sankarapandian; Richard Johnson; J. Kanyandekwe; Hong He; Rajasekhar Venigalla; Tenko Yamashita; Balasubramanian S. Haran; L. Grenouillet; M. Vinet

We report FDSOI devices with a 20nm gate length (L<sub>G</sub>) and 5nm spacer, featuring a 20% tensile strained Silicon-on-Insulator (sSOI) channel NFET and 35% [Ge] partially compressive strained SiGe-on-Insulator (SGOI) channel PFET. This work represents the first demonstration of strain reversal of sSOI by SiGe in short channel devices. At V<sub>dd</sub> of 0.75V, competitive effective current (I<sub>eff</sub>) reaches 550/340 μA/μm for NFET, at I<sub>off</sub> of 100/1 nA/μm, respectively. With a fully strained 30% SGOI channel on thin BOX (20nm) substrate and V<sub>dd</sub> of 0.75V, PFET I<sub>eff</sub> reaches 495/260 μA/μm, at I<sub>off</sub> of 100/1 nA/μm, respectively. Competitive sub-threshold slope and DIBL are reported. With the demonstrated advanced strain techniques and short channel performance, FDSOI devices can be extended for both high performance and low power applications to the 10nm node.

Collaboration


Dive into the J. Kuss's collaboration.

Researchain Logo
Decentralizing Knowledge