Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jean-Didier Legat is active.

Publication


Featured researches published by Jean-Didier Legat.


Image and Vision Computing | 2003

A survey on industrial vision systems, applications and tools

Elias N. Malamas; Euripides G. M. Petrakis; Michalis Zervakis; Laurent Petit; Jean-Didier Legat

The state of the art in machine vision inspection and a critical overview of real-world applications are presented in this paper. Two independent ways to classify applications are proposed, one according to the inspected features of the industrial product or process and the other according to the inspection independent characteristics of the inspected product or process. The most contemporary software and hardware tools for developing industrial vision systems are reviewed. Finally, under the light of recent advances in image sensors, software and hardware technology, important issues and directions for designing and developing industrial vision systems are identified and discussed


international conference on information technology coding and computing | 2004

Compact and efficient encryption/decryption module for FPGA implementation of the AES Rijndael very well suited for small embedded applications

Gaël Rouvroy; François-Xavier Standaert; Jean-Jacques Quisquater; Jean-Didier Legat

Hardware implementations of the advanced encryption standard (AES) Rijndael algorithm have recently been the object of an intensive evaluation. Several papers describe efficient architectures for ASICs and FPGAs. In this context, the highest effort was devoted to high throughput (up to 20 Gbps) encryption-only designs, fewer works studied low area encryption-only architectures and only a few papers have investigated low area encryption/decryption structures. However, in practice, only a few applications need throughput up to 20 Gbps while flexible and low cost encryption/decryption solutions are needed to protect sensible data, especially for embedded hardware applications. We purpose an efficient solution to combine Rijndael encryption and decryption in one FPGA design, with a strong focus on low area constraints. The proposed design fits into the smallest Xilinx FPGAs, deals with data streams of 208 Mbps, uses 163 slices and 3 RAM blocks and improves by 68% the best-known similar designs in terms of ratio Throughput/Area. We also propose implementations in other FPGA Families (Xilinx Virtex-II) and comparisons with similar DES, triple-DES and AES implementations.


cryptographic hardware and embedded systems | 2003

Efficient Implementation of Rijndael Encryption in Reconfigurable Hardware: Improvements and Design Tradeoffs

François-Xavier Standaert; Gaël Rouvroy; Jean-Jacques Quisquater; Jean-Didier Legat

Performance evaluation of the Advanced Encryption Standard candidates has led to intensive study of both hardware and software implementations. However, although plentiful papers present various implementation results, it seems that efficiency could still be greatly improved by applying good design rules adapted to devices and algorithms. This paper addresses various approaches for efficient FPGA implementations of the Advanced Encryption Standard algorithm. As different applications of the AES algorithm may require different speed/area tradeoffs, we propose a rigorous study of the possible implementation schemes, but also discuss design methodology and algorithmic optimization in order to improve previously reported results. We propose heuristics to evaluate hardware efficiency at different steps of the design process. We also define an optimal pipeline that takes the place and route constraints into account. Resulting circuits significantly improve previously reported results: throughput is up to 18.5 Gbits/sec and area requirements can be limited to 542 slices and 10 RAM blocks with a ratio throughput/area improved by at least 25% of the best-known designs in the Xilinx Virtex-E technology.


IEEE Transactions on Very Large Scale Integration Systems | 2009

Interests and Limitations of Technology Scaling for Subthreshold Logic

David Bol; Renaud Ambroise; Denis Flandre; Jean-Didier Legat

Subthreshold logic is an efficient technique to achieve ultralow energy per operation for low-to-medium throughput applications. In this paper, the interests and limitations of technology scaling for subthreshold logic are investigated from 0.25 mum to 32 nm nodes. Scaling to 90/65 nm nodes is shown to be highly desirable for medium-throughput applications (1-10 MHz) due to great dynamic energy reduction. However, this interest is limited at 45/32 nm nodes by high static energy due to degraded subthreshold swing and delay variability. Moreover, for low-throughput applications (10-100 kHz), this limitation is worsened by the increase of minimum supply voltage to achieve sufficient functional yield, which results in bad energy efficiency starting at 0.13 mum node. Upsizing the channel length is proposed as a straightforward circuit-level technique to efficiently mitigate these effects. At 32 nm node, this technique reduces energy per operation by 60% at medium throughput and by two orders of magnitude at low throughput.


IEEE Transactions on Neural Networks | 1998

Image compression by self-organized Kohonen map

Christophe Amerijckx; Michel Verleysen; Philippe Thissen; Jean-Didier Legat

This paper presents a compression scheme for digital still images, by using the Kohonens neural network algorithm, not only for its vector quantization feature, but also for its topological property. This property allows an increase of about 80% for the compression rate. Compared to the JPEG standard, this compression scheme shows better performances (in terms of PSNR) for compression rates higher than 30.


IEEE Transactions on Circuits and Systems for Video Technology | 2003

Combined line-based architecture for the 5-3 and 9-7 wavelet transform of JPEG2000

Gregory Dillen; Benoit Georis; Jean-Didier Legat; Olivier Cantineau

The wavelet transform is a very promising tool for image compression. In JPEG2000, two filter banks are used, one an integer lossless 5-3 filter, and one a lossy 9-7. A combined architecture for the 5-3 and 9-7 transforms with minimum area is presented. The lifting scheme is used to realize a fast wavelet transform. Two lines are processed at a time. This line-based architecture allows minimum memory requirement and fast calculation. The pipeline and the optimization of the operations provide speed, while the combination of the two transforms in one structure contributes to saving the area. On a VIRTEXE1000-8 FPGA implementation, decoding of 2 pixels per clock cycle can be performed at 110 MHz. Only 19% of the total area of the VIRTEXE1000 is needed. Compared to existing architectures, memory resource and area can be reduced thanks to the proposed solution.


IEEE Journal of Solid-state Circuits | 2013

SleepWalker: A 25-MHz 0.4-V Sub-

David Bol; J. De Vos; Cédric Hocquet; François Botman; François Durvaux; Sarah Boyd; Denis Flandre; Jean-Didier Legat

Integrated circuits for wireless sensor nodes (WSNs) targeting the Internet-of-Things (IoT) paradigm require ultralow-power consumption for energy-harvesting operation and low die area for low-cost nodes. As the IoT calls for the deployment of trillions of WSNs, minimizing the carbon footprint for WSN chip manufacturing further emerges as a third target in a design-for-the-environment (DfE) perspective. The SleepWalker microcontroller is a 65-nm ultralow-voltage SoC based on the MSP430 architecture capable of delivering increased speed performances at 25 MHz for only 7 μW/MHz at 0.4 V. Its sub-mm2 die area with low external component requirement ensures a low carbon footprint for chip manufacturing. SleepWalker incorporates an on-chip adaptive voltage scaling (AVS) system with DC/DC converter, clock generator, memories, sensor and communication interfaces, making it suited for WSN applications. An LP/GP process mix is fully exploited for minimizing the energy per cycle, with power gating to keep stand-by power at 1.7 μW. By incorporating a glitch-masking instruction cache, system power can be reduced by up to 52%. The AVS system ensures proper 25-MHz operation over process and temperature variations from -40 °C to +85 °C, with a peak efficiency of the DC/DC converter above 80%. Finally, a multi-Vt clock tree reduces variability-induced clock skew by 3 × to ensure robust timing closure down to 0.3 V.


IEEE Transactions on Neural Networks | 1993

\hbox{mm}^{2}

D. Macq; Michel Verleysen; Paul Jespers; Jean-Didier Legat

Kohonen maps are self-organizing neural networks that classify and quantify n-dimensional data into a one- or two-dimensional array of neurons. Most applications of Kohonen maps use simulations on conventional computers, eventually coupled to hardware accelerators or dedicated neural computers. The small number of different operations involved in the combined learning and classification process, however, makes the Kohonen model particularly suited to a dedicated VLSI implementation, taking full advantage of the parallelism and speed that can be obtained on the chip. A fully analog implementation of a one-dimensional Kohonen map, with on-chip learning and refreshment of on-chip analog synaptic weights, is proposed. The small number of transistors in each cell allows a high degree of parallelism in the operations, which greatly improves the computation speed compared to other implementations. The storage of analog synaptic weights, based on the principle of current copiers, is emphasized. It is shown that this technique can be used successfully for the realization of VLSI Kohonen maps.


fast software encryption | 2004

7-

François-Xavier Standaert; Gilles Piret; Gaël Rouvroy; Jean-Jacques Quisquater; Jean-Didier Legat

We present a fast involutional block cipher optimized for reconfigurable hardware implementations. ICEBERG uses 64-bit text blocks and 128-bit keys. All components are involutional and allow very efficient combinations of encryption/decryption. Hardware implementations of ICEBERG allow to change the key at every clock cycle without any performance loss and its round keys are derived “on-the-fly” in encryption and decryption modes (no storage of round keys is needed). The resulting design offers better hardware efficiency than other recent 128-key-bit block ciphers. Resistance against side-channel cryptanalysis was also considered as a design criteria for ICEBERG.


Eurasip Journal on Embedded Systems | 2008

\mu\hbox{W/MHz}

Philippe Manet; Daniel Maufroid; Leonardo Tosi; Grégory Gailliard; Olivier Mulertt; Marco Di Ciano; Jean-Didier Legat; Denis Aulagnier; Christian Gamrat; Raffaele Liberati; Vincenzo La Barba; Pol Cuvelier; Bertrand Rousseau; Paul Gelineau

Signal and image processing applications require a lot of computing resources. For low-volume applications like in professional electronics applications, FPGA are used in combination with DSP and GPP in order to reach the performances required by the product roadmaps. Nevertheless, FPGA designs are static, which raises a flexibility issue with new complex or software defined applications like software-defined radio (SDR). In this scope, dynamic partial reconfiguration (DPR) is used to bring a virtualization layer upon the static hardware of FPGA. During the last decade, DPR has been widely studied in academia. Nevertheless, there are very few real applications using it, and therefore, there is a lack of feedback providing relevant issues to address in order to improve its applicability. This paper evaluates the interest and limitations when using DPR in professional electronics applications and provides guidelines to improve its applicability. It makes a fair evaluation based on experiments made on a set of signal and image processing applications. It identifies the missing elements of the design flow to use DPR in professional electronics applications. Finally, it introduces a fast reconfiguration manager providing an 84-time improvement compared to the vendor solution.

Collaboration


Dive into the Jean-Didier Legat's collaboration.

Top Co-Authors

Avatar

David Bol

Université catholique de Louvain

View shared research outputs
Top Co-Authors

Avatar

Jean-Jacques Quisquater

Université catholique de Louvain

View shared research outputs
Top Co-Authors

Avatar

Denis Flandre

Université catholique de Louvain

View shared research outputs
Top Co-Authors

Avatar

Philippe Manet

Université catholique de Louvain

View shared research outputs
Top Co-Authors

Avatar

Michel Verleysen

Université catholique de Louvain

View shared research outputs
Top Co-Authors

Avatar

François-Xavier Standaert

Université catholique de Louvain

View shared research outputs
Top Co-Authors

Avatar

Gaël Rouvroy

Université catholique de Louvain

View shared research outputs
Top Co-Authors

Avatar

Benoît Macq

Université catholique de Louvain

View shared research outputs
Top Co-Authors

Avatar

Philippe Thissen

Université catholique de Louvain

View shared research outputs
Top Co-Authors

Avatar

Renaud Ambroise

Université catholique de Louvain

View shared research outputs
Researchain Logo
Decentralizing Knowledge